Wed May 15 18:58:08 UTC 2024 I: starting to build systemc/trixie/amd64 on jenkins on '2024-05-15 18:57' Wed May 15 18:58:08 UTC 2024 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/amd64_25/6095/console.log Wed May 15 18:58:08 UTC 2024 I: Downloading source for trixie/systemc=2.3.4-3 --2024-05-15 18:58:08-- http://deb.debian.org/debian/pool/main/s/systemc/systemc_2.3.4-3.dsc Connecting to 46.16.76.132:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 1974 (1.9K) [text/prs.lines.tag] Saving to: ‘systemc_2.3.4-3.dsc’ 0K . 100% 212M=0s 2024-05-15 18:58:08 (212 MB/s) - ‘systemc_2.3.4-3.dsc’ saved [1974/1974] Wed May 15 18:58:09 UTC 2024 I: systemc_2.3.4-3.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: systemc Binary: libsystemc, libsystemc-dev, libsystemc-doc Architecture: kfreebsd-any amd64 arm64 i386 all Version: 2.3.4-3 Maintainer: Debian Electronics Packaging Team Uploaders: أحمد المحمودي (Ahmed El-Mahmoudy) Homepage: https://github.com/accellera-official/systemc Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/electronics-team/systemc Vcs-Git: https://salsa.debian.org/electronics-team/systemc.git Testsuite: autopkgtest Testsuite-Triggers: build-essential, pkg-config Build-Depends: debhelper-compat (= 13), texinfo Package-List: libsystemc deb libs optional arch=kfreebsd-any,amd64,arm64,i386 libsystemc-dev deb libdevel optional arch=kfreebsd-any,amd64,arm64,i386 libsystemc-doc deb doc optional arch=all Checksums-Sha1: bfc12412b51cc2fae8921915846a89c34899d1f5 2727165 systemc_2.3.4.orig.tar.gz 11e81ad6011b2b389e553c41d9d7c25019c873c1 9436 systemc_2.3.4-3.debian.tar.xz Checksums-Sha256: 83ef55e30cf18b02f9405c9252c86f3b34e0b96be051c0def9fc8e57a0653dfb 2727165 systemc_2.3.4.orig.tar.gz a37d8ebccb8c34d04572f8466e5d1ee51168bec19c28c9e43719184d5c55af2e 9436 systemc_2.3.4-3.debian.tar.xz Files: cac81b980e2dbe9eb845af4288d7b62f 2727165 systemc_2.3.4.orig.tar.gz e3fec9ce1f31457cef22c3edd3a4c540 9436 systemc_2.3.4-3.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQFWBAEBCgBAFiEEggahliCEfm0N+LF2vBlqlO3dobcFAmSUq8AiHGFlbG1haG1v dWR5QHVzZXJzLnNvdXJjZWZvcmdlLm5ldAAKCRC8GWqU7d2ht3NqB/0XVaatpgTz TXO7urVzv0Ry92SOkFUZJs5NQM+8E7HF/1O+5XZRZSwtkGqHIRsDKKYrBRKM6Dt5 8n/IFLgQI0GTjnMwBhYkn3zgFAqbeE/JdSZezXmAWUL6QL1oANL7/lhtt3irqiHZ yuxIg1upJX9Km1GcbuH1hOIVfyU+DYe8HZYFihrnK3qeX/xLKnyqF/7dhTXIPisO 0u/wuNhlh91PclMEcWwMtt+WjgyvLI2b4hVcgfyIfRGGkqpXBlwnNz4rHyeO5CpF 4wRKaxQ/mFVPxbBb67h8/1n2SjOiC1Sh5sDm52XVyIfsSydHlwEzBm5ptgaGrDiH SsjEMPDtvI40 =fF98 -----END PGP SIGNATURE----- Wed May 15 18:58:09 UTC 2024 I: Checking whether the package is not for us Wed May 15 18:58:09 UTC 2024 I: Starting 1st build on remote node ionos1-amd64.debian.net. Wed May 15 18:58:09 UTC 2024 I: Preparing to do remote build '1' on ionos1-amd64.debian.net. Wed May 15 19:27:04 UTC 2024 I: Deleting $TMPDIR on ionos1-amd64.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Wed May 15 06:58:16 -12 2024 I: pbuilder-time-stamp: 1715799496 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [systemc_2.3.4-3.dsc] I: copying [./systemc_2.3.4.orig.tar.gz] I: copying [./systemc_2.3.4-3.debian.tar.xz] I: Extracting source gpgv: Signature made Thu Jun 22 20:14:56 2023 gpgv: using RSA key 8206A19620847E6D0DF8B176BC196A94EDDDA1B7 gpgv: issuer "aelmahmoudy@users.sourceforge.net" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./systemc_2.3.4-3.dsc: no acceptable signature found dpkg-source: info: extracting systemc in systemc-2.3.4 dpkg-source: info: unpacking systemc_2.3.4.orig.tar.gz dpkg-source: info: unpacking systemc_2.3.4-3.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying examples-Switch-file-encoding-to-UTF-8.patch dpkg-source: info: applying s-s-p-boost-Switch-file-encoding-to-UTF-8.patch I: using fakeroot in build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/2526854/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build/reproducible-path' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='amd64' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=20 ' DISTRIBUTION='trixie' HOME='/root' HOST_ARCH='amd64' IFS=' ' INVOCATION_ID='024f1390ce8c4a37b59307b029c8de2b' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='2526854' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/pbuilderrc_FFm5 --distribution trixie --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/b1 --logfile b1/build.log systemc_2.3.4-3.dsc' SUDO_GID='110' SUDO_UID='105' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://46.16.76.132:3128' I: uname -a Linux ionos1-amd64 6.1.0-21-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.90-1 (2024-05-03) x86_64 GNU/Linux I: ls -l /bin lrwxrwxrwx 1 root root 7 May 14 11:24 /bin -> usr/bin I: user script /srv/workspace/pbuilder/2526854/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), texinfo dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19699 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on texinfo; however: Package texinfo is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} gettext{a} gettext-base{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libdebhelper-perl{a} libelf1t64{a} libfile-stripnondeterminism-perl{a} libicu72{a} libmagic-mgc{a} libmagic1t64{a} libpipeline1{a} libsub-override-perl{a} libtext-unidecode-perl{a} libtool{a} libuchardet0{a} libxml-libxml-perl{a} libxml-namespacesupport-perl{a} libxml-sax-base-perl{a} libxml-sax-perl{a} libxml2{a} m4{a} man-db{a} po-debconf{a} sensible-utils{a} tex-common{a} texinfo{a} texinfo-lib{a} ucf{a} The following packages are RECOMMENDED but will NOT be installed: curl libarchive-cpio-perl libltdl-dev libmail-sendmail-perl libwww-perl libxml-sax-expat-perl lynx wget 0 packages upgraded, 39 newly installed, 0 to remove and 0 not upgraded. Need to get 21.6 MB of archives. After unpacking 86.9 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian trixie/main amd64 sensible-utils all 0.0.22 [22.4 kB] Get: 2 http://deb.debian.org/debian trixie/main amd64 libmagic-mgc amd64 1:5.45-3 [314 kB] Get: 3 http://deb.debian.org/debian trixie/main amd64 libmagic1t64 amd64 1:5.45-3 [105 kB] Get: 4 http://deb.debian.org/debian trixie/main amd64 file amd64 1:5.45-3 [42.9 kB] Get: 5 http://deb.debian.org/debian trixie/main amd64 gettext-base amd64 0.21-14+b1 [161 kB] Get: 6 http://deb.debian.org/debian trixie/main amd64 libuchardet0 amd64 0.0.8-1+b1 [68.8 kB] Get: 7 http://deb.debian.org/debian trixie/main amd64 groff-base amd64 1.23.0-4 [1180 kB] Get: 8 http://deb.debian.org/debian trixie/main amd64 bsdextrautils amd64 2.40-8 [92.8 kB] Get: 9 http://deb.debian.org/debian trixie/main amd64 libpipeline1 amd64 1.5.7-2 [38.0 kB] Get: 10 http://deb.debian.org/debian trixie/main amd64 man-db amd64 2.12.1-1 [1411 kB] Get: 11 http://deb.debian.org/debian trixie/main amd64 ucf all 3.0043+nmu1 [55.2 kB] Get: 12 http://deb.debian.org/debian trixie/main amd64 m4 amd64 1.4.19-4 [287 kB] Get: 13 http://deb.debian.org/debian trixie/main amd64 autoconf all 2.71-3 [332 kB] Get: 14 http://deb.debian.org/debian trixie/main amd64 autotools-dev all 20220109.1 [51.6 kB] Get: 15 http://deb.debian.org/debian trixie/main amd64 automake all 1:1.16.5-1.3 [823 kB] Get: 16 http://deb.debian.org/debian trixie/main amd64 autopoint all 0.21-14 [496 kB] Get: 17 http://deb.debian.org/debian trixie/main amd64 libdebhelper-perl all 13.15.3 [88.0 kB] Get: 18 http://deb.debian.org/debian trixie/main amd64 libtool all 2.4.7-7 [517 kB] Get: 19 http://deb.debian.org/debian trixie/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 20 http://deb.debian.org/debian trixie/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 21 http://deb.debian.org/debian trixie/main amd64 libsub-override-perl all 0.10-1 [10.6 kB] Get: 22 http://deb.debian.org/debian trixie/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 23 http://deb.debian.org/debian trixie/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 24 http://deb.debian.org/debian trixie/main amd64 libelf1t64 amd64 0.191-1+b1 [189 kB] Get: 25 http://deb.debian.org/debian trixie/main amd64 dwz amd64 0.15-1+b1 [110 kB] Get: 26 http://deb.debian.org/debian trixie/main amd64 libicu72 amd64 72.1-4+b1 [9395 kB] Get: 27 http://deb.debian.org/debian trixie/main amd64 libxml2 amd64 2.9.14+dfsg-1.3+b3 [692 kB] Get: 28 http://deb.debian.org/debian trixie/main amd64 gettext amd64 0.21-14+b1 [1301 kB] Get: 29 http://deb.debian.org/debian trixie/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 30 http://deb.debian.org/debian trixie/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 31 http://deb.debian.org/debian trixie/main amd64 debhelper all 13.15.3 [901 kB] Get: 32 http://deb.debian.org/debian trixie/main amd64 libtext-unidecode-perl all 1.30-3 [101 kB] Get: 33 http://deb.debian.org/debian trixie/main amd64 libxml-namespacesupport-perl all 1.12-2 [15.1 kB] Get: 34 http://deb.debian.org/debian trixie/main amd64 libxml-sax-base-perl all 1.09-3 [20.6 kB] Get: 35 http://deb.debian.org/debian trixie/main amd64 libxml-sax-perl all 1.02+dfsg-3 [59.4 kB] Get: 36 http://deb.debian.org/debian trixie/main amd64 libxml-libxml-perl amd64 2.0207+dfsg+really+2.0134-1+b3 [313 kB] Get: 37 http://deb.debian.org/debian trixie/main amd64 tex-common all 6.18 [32.5 kB] Get: 38 http://deb.debian.org/debian trixie/main amd64 texinfo-lib amd64 7.1-3+b1 [224 kB] Get: 39 http://deb.debian.org/debian trixie/main amd64 texinfo all 7.1-3 [1748 kB] Fetched 21.6 MB in 1s (16.4 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package sensible-utils. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19699 files and directories currently installed.) Preparing to unpack .../00-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../01-libmagic-mgc_1%3a5.45-3_amd64.deb ... Unpacking libmagic-mgc (1:5.45-3) ... Selecting previously unselected package libmagic1t64:amd64. Preparing to unpack .../02-libmagic1t64_1%3a5.45-3_amd64.deb ... Unpacking libmagic1t64:amd64 (1:5.45-3) ... Selecting previously unselected package file. Preparing to unpack .../03-file_1%3a5.45-3_amd64.deb ... Unpacking file (1:5.45-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../04-gettext-base_0.21-14+b1_amd64.deb ... Unpacking gettext-base (0.21-14+b1) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../05-libuchardet0_0.0.8-1+b1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.8-1+b1) ... Selecting previously unselected package groff-base. Preparing to unpack .../06-groff-base_1.23.0-4_amd64.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../07-bsdextrautils_2.40-8_amd64.deb ... Unpacking bsdextrautils (2.40-8) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../08-libpipeline1_1.5.7-2_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-2) ... Selecting previously unselected package man-db. Preparing to unpack .../09-man-db_2.12.1-1_amd64.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package ucf. Preparing to unpack .../10-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package m4. Preparing to unpack .../11-m4_1.4.19-4_amd64.deb ... Unpacking m4 (1.4.19-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../12-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../13-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../14-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../15-autopoint_0.21-14_all.deb ... Unpacking autopoint (0.21-14) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../16-libdebhelper-perl_13.15.3_all.deb ... Unpacking libdebhelper-perl (13.15.3) ... Selecting previously unselected package libtool. Preparing to unpack .../17-libtool_2.4.7-7_all.deb ... Unpacking libtool (2.4.7-7) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../18-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../19-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../20-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../21-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../22-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1t64:amd64. Preparing to unpack .../23-libelf1t64_0.191-1+b1_amd64.deb ... Unpacking libelf1t64:amd64 (0.191-1+b1) ... Selecting previously unselected package dwz. Preparing to unpack .../24-dwz_0.15-1+b1_amd64.deb ... Unpacking dwz (0.15-1+b1) ... Selecting previously unselected package libicu72:amd64. Preparing to unpack .../25-libicu72_72.1-4+b1_amd64.deb ... Unpacking libicu72:amd64 (72.1-4+b1) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../26-libxml2_2.9.14+dfsg-1.3+b3_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Selecting previously unselected package gettext. Preparing to unpack .../27-gettext_0.21-14+b1_amd64.deb ... Unpacking gettext (0.21-14+b1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../28-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../29-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../30-debhelper_13.15.3_all.deb ... Unpacking debhelper (13.15.3) ... Selecting previously unselected package libtext-unidecode-perl. Preparing to unpack .../31-libtext-unidecode-perl_1.30-3_all.deb ... Unpacking libtext-unidecode-perl (1.30-3) ... Selecting previously unselected package libxml-namespacesupport-perl. Preparing to unpack .../32-libxml-namespacesupport-perl_1.12-2_all.deb ... Unpacking libxml-namespacesupport-perl (1.12-2) ... Selecting previously unselected package libxml-sax-base-perl. Preparing to unpack .../33-libxml-sax-base-perl_1.09-3_all.deb ... Unpacking libxml-sax-base-perl (1.09-3) ... Selecting previously unselected package libxml-sax-perl. Preparing to unpack .../34-libxml-sax-perl_1.02+dfsg-3_all.deb ... Unpacking libxml-sax-perl (1.02+dfsg-3) ... Selecting previously unselected package libxml-libxml-perl. Preparing to unpack .../35-libxml-libxml-perl_2.0207+dfsg+really+2.0134-1+b3_amd64.deb ... Unpacking libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b3) ... Selecting previously unselected package tex-common. Preparing to unpack .../36-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package texinfo-lib. Preparing to unpack .../37-texinfo-lib_7.1-3+b1_amd64.deb ... Unpacking texinfo-lib (7.1-3+b1) ... Selecting previously unselected package texinfo. Preparing to unpack .../38-texinfo_7.1-3_all.deb ... Unpacking texinfo (7.1-3) ... Setting up libpipeline1:amd64 (1.5.7-2) ... Setting up libicu72:amd64 (72.1-4+b1) ... Setting up bsdextrautils (2.40-8) ... Setting up libmagic-mgc (1:5.45-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.15.3) ... Setting up libmagic1t64:amd64 (1:5.45-3) ... Setting up libxml-namespacesupport-perl (1.12-2) ... Setting up gettext-base (0.21-14+b1) ... Setting up m4 (1.4.19-4) ... Setting up file (1:5.45-3) ... Setting up texinfo-lib (7.1-3+b1) ... Setting up libelf1t64:amd64 (0.191-1+b1) ... Setting up libxml-sax-base-perl (1.09-3) ... Setting up autotools-dev (20220109.1) ... Setting up autopoint (0.21-14) ... Setting up autoconf (2.71-3) ... Setting up dwz (0.15-1+b1) ... Setting up sensible-utils (0.0.22) ... Setting up libuchardet0:amd64 (0.0.8-1+b1) ... Setting up libsub-override-perl (0.10-1) ... Setting up libtext-unidecode-perl (1.30-3) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up gettext (0.21-14+b1) ... Setting up libtool (2.4.7-7) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up ucf (3.0043+nmu1) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up groff-base (1.23.0-4) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Setting up libxml-sax-perl (1.02+dfsg-3) ... update-perl-sax-parsers: Registering Perl SAX parser XML::SAX::PurePerl with priority 10... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Creating config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up libxml-libxml-perl (2.0207+dfsg+really+2.0134-1+b3) ... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX::Parser with priority 50... update-perl-sax-parsers: Registering Perl SAX parser XML::LibXML::SAX with priority 50... update-perl-sax-parsers: Updating overall Perl SAX parser modules info file... Replacing config file /etc/perl/XML/SAX/ParserDetails.ini with new version Setting up debhelper (13.15.3) ... Setting up texinfo (7.1-3) ... Processing triggers for libc-bin (2.38-10) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps Reading package lists... Building dependency tree... Reading state information... fakeroot is already the newest version (1.33-1). 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package I: Running cd /build/reproducible-path/systemc-2.3.4/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../systemc_2.3.4-3_source.changes dpkg-buildpackage: info: source package systemc dpkg-buildpackage: info: source version 2.3.4-3 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by أحمد المحمودي (Ahmed El-Mahmoudy) dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 fakeroot debian/rules clean dh clean debian/rules override_dh_clean make[1]: Entering directory '/build/reproducible-path/systemc-2.3.4' dh_clean rm -f docs/Makefile make[1]: Leaving directory '/build/reproducible-path/systemc-2.3.4' debian/rules build dh build dh_update_autotools_config cp: warning: behavior of -n is non-portable and may change in future; use --update=none instead cp: warning: behavior of -n is non-portable and may change in future; use --update=none instead dh_autoreconf libtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, 'config'. libtoolize: copying file 'config/ltmain.sh' libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'config'. libtoolize: copying file 'config/libtool.m4' libtoolize: copying file 'config/ltoptions.m4' libtoolize: copying file 'config/ltsugar.m4' libtoolize: copying file 'config/ltversion.m4' libtoolize: copying file 'config/lt~obsolete.m4' configure.ac:58: warning: The macro `AC_CANONICAL_SYSTEM' is obsolete. configure.ac:58: You should run autoupdate. ./lib/autoconf/general.m4:2081: AC_CANONICAL_SYSTEM is expanded from... configure.ac:58: the top level configure.ac:270: warning: The macro `AC_PROG_LIBTOOL' is obsolete. configure.ac:270: You should run autoupdate. config/libtool.m4:100: AC_PROG_LIBTOOL is expanded from... configure.ac:270: the top level configure.ac:582: warning: AC_OUTPUT should be used without arguments. configure.ac:582: You should run autoupdate. configure.ac:95: installing 'config/compile' configure.ac:59: installing 'config/missing' examples/sysc/Makefile.am: installing 'config/depcomp' debian/rules override_dh_auto_configure make[1]: Entering directory '/build/reproducible-path/systemc-2.3.4' dh_auto_configure -- --with-unix-layout ./configure --build=x86_64-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/x86_64-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking --with-unix-layout checking build system type... x86_64-pc-linux-gnu checking host system type... x86_64-pc-linux-gnu checking target system type... x86_64-pc-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for a race-free mkdir -p... /usr/bin/mkdir -p checking for gawk... no checking for mawk... mawk checking whether make sets $(MAKE)... yes checking whether make supports nested variables... yes checking how to create a pax tar archive... gnutar checking whether make supports nested variables... (cached) yes checking for g++... g++ checking whether the C++ compiler works... yes checking for C++ compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C++... yes checking whether g++ accepts -g... yes checking for g++ option to enable C++11 features... none needed checking whether make supports the include directive... yes (GNU style) checking dependency style of g++... none checking whether we are using a Clang/LLVM C++ compiler... no checking for gcc... g++ checking whether the compiler supports GNU C... yes checking whether g++ accepts -g... yes checking for g++ option to enable C11 features... unsupported checking for g++ option to enable C99 features... unsupported checking for g++ option to enable C89 features... unsupported checking whether g++ understands -c and -o together... yes checking dependency style of g++... none checking whether we are using a Clang/LLVM C compiler... no checking for ar... ar checking the archiver (ar) interface... ar checking dependency style of g++... none checking whether ln -s works... yes checking for the pthreads library -lpthreads... no checking whether pthreads work without any flags... yes checking for joinable pthread attribute... PTHREAD_CREATE_JOINABLE checking if more special flags are required for pthreads... no checking for PTHREAD_PRIO_INHERIT... yes checking for posix_memalign... yes checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for fgrep... /usr/bin/grep -F checking for ld used by g++... /usr/bin/ld checking if the linker (/usr/bin/ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B checking the name lister (/usr/bin/nm -B) interface... BSD nm checking the maximum length of command line arguments... 1572864 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop checking for /usr/bin/ld option to reload object files... -r checking for file... file checking for objdump... objdump checking how to recognize dependent libraries... pass_all checking for dlltool... no checking how to associate runtime and link libraries... printf %s\n checking for archiver @FILE support... @ checking for strip... strip checking for ranlib... ranlib checking command to parse /usr/bin/nm -B output from g++ object... ok checking for sysroot... no checking for a working dd... /usr/bin/dd checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 checking for mt... no checking if : is a manifest tool... no checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking for dlfcn.h... yes checking for objdir... .libs checking if g++ supports -fno-rtti -fno-exceptions... yes checking for g++ option to produce PIC... -fPIC -DPIC checking if g++ PIC flag -fPIC -DPIC works... yes checking if g++ static flag -static works... yes checking if g++ supports -c -o file.o... yes checking if g++ supports -c -o file.o... (cached) yes checking whether the g++ linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... g++ -E checking for ld used by g++... /usr/bin/ld -m elf_x86_64 checking if the linker (/usr/bin/ld -m elf_x86_64) is GNU ld... yes checking whether the g++ linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes checking for g++ option to produce PIC... -fPIC -DPIC checking if g++ PIC flag -fPIC -DPIC works... yes checking if g++ static flag -static works... yes checking if g++ supports -c -o file.o... yes checking if g++ supports -c -o file.o... (cached) yes checking whether the g++ linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for SystemC install layout... Unix checking for SystemC library arch suffix... default (TARGET_ARCH=linux64) checking whether to add debug symbols to the SystemC library... no checking whether to enable compiler optimization... yes checking whether to add support for asynchronous update requests... yes checking whether to use POSIX threads for SystemC processes... no checking whether to enable simulation phase callbacks (experimental)... no checking whether to enable VCD scopes by default... yes checking whether to enable legacy memory managment for allocating coroutine stack... no checking for SC_DISABLE_VIRTUAL_BIND defined... no checking for SC_ENABLE_IMMEDIATE_SELF_NOTIFICATION defined... no checking for SC_ENABLE_EARLY_MAXTIME_CREATION defined... no checking that generated files are newer than configure... done configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/systemc.pc config.status: creating src/tlm.pc config.status: creating src/sysc/Makefile config.status: creating src/sysc/packages/boost/Makefile config.status: creating src/sysc/packages/qt/Makefile config.status: creating src/tlm_core/Makefile config.status: creating src/tlm_utils/Makefile config.status: creating examples/Makefile config.status: creating examples/sysc/Makefile config.status: creating examples/tlm/Makefile config.status: creating examples/tlm/common/Makefile config.status: creating docs/Makefile config.status: creating docs/sysc/doxygen/Doxyfile config.status: creating docs/tlm/doxygen/Doxyfile config.status: executing depfiles commands config.status: executing libtool commands --------------------------------------------------------------------- Configuration summary of SystemC 2.3.4 for x86_64-pc-linux-gnu --------------------------------------------------------------------- Directory setup (based on Unix layout): Installation prefix (aka SYSTEMC_HOME): /usr Header files : /include Libraries : /lib/x86_64-linux-gnu Documentation : /share/doc/systemc Examples : /share/doc/systemc/examples Architecture : linux64 Compiler : g++ (C/C++) User-provided command-line flags : C compiler flags (CFLAGS) : -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection C++ compiler flags (CXXFLAGS) : -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection Assembler flags (CCASFLAGS) : -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack Linker flags (LDFLAGS) : -Wl,-z,relro -Wl,-z,now Build settings: Enable compiler optimizations : yes Include debugging symbols : no Coroutine package for processes: QuickThreads Enable VCD scopes by default : yes Disable async_request_update : no Enable legacy mem. mangement : no Phase callbacks (experimental) : no --------------------------------------------------------------------- make[1]: Leaving directory '/build/reproducible-path/systemc-2.3.4' dh_auto_build make -j20 make[1]: Entering directory '/build/reproducible-path/systemc-2.3.4' Making all in src make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' Making all in sysc make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' Making all in packages/boost make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' Making all in packages/qt make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' /bin/bash ./config x86_64 make all-am make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' /bin/bash ../../../../libtool --tag=CC --tag=CC --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -xc -O3 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o libqt_la-qt.lo `test -f 'qt.c' || echo './'`qt.c /bin/bash ../../../../libtool --tag=CC --mode=compile g++ -xassembler-with-cpp -m64 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -c -o libqt_la-qtmds.lo `test -f 'qtmds.s' || echo './'`qtmds.s libtool: compile: g++ -xassembler-with-cpp -m64 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -c qtmds.s -fPIC -DPIC -o .libs/libqt_la-qtmds.o libtool: compile: g++ -xassembler-with-cpp -m64 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wa,--noexecstack -c qtmds.s -o libqt_la-qtmds.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -xc -O3 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c qt.c -fPIC -DPIC -o .libs/libqt_la-qt.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -xc -O3 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c qt.c -o libqt_la-qt.o >/dev/null 2>&1 /bin/bash ../../../../libtool --tag=CC --tag=CC --mode=link g++ -Wall -m64 -xc -O3 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o libqt.la libqt_la-qt.lo libqt_la-qtmds.lo libtool: link: ar cr .libs/libqt.a .libs/libqt_la-qt.o .libs/libqt_la-qtmds.o libtool: link: ranlib .libs/libqt.a libtool: link: ( cd ".libs" && rm -f "libqt.la" && ln -s "../libqt.la" "libqt.la" ) make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_attribute.lo kernel/sc_attribute.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_cor_qt.lo kernel/sc_cor_qt.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_cthread_process.lo kernel/sc_cthread_process.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_event.lo kernel/sc_event.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_except.lo kernel/sc_except.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_join.lo kernel/sc_join.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_main.lo kernel/sc_main.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_main_main.lo kernel/sc_main_main.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_method_process.lo kernel/sc_method_process.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_module.lo kernel/sc_module.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_module_name.lo kernel/sc_module_name.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_module_registry.lo kernel/sc_module_registry.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_name_gen.lo kernel/sc_name_gen.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_object.lo kernel/sc_object.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_object_manager.lo kernel/sc_object_manager.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_phase_callback_registry.lo kernel/sc_phase_callback_registry.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_process.lo kernel/sc_process.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_reset.lo kernel/sc_reset.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_sensitive.lo kernel/sc_sensitive.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_simcontext.lo kernel/sc_simcontext.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_attribute.cpp -fPIC -DPIC -o kernel/.libs/sc_attribute.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_cthread_process.cpp -fPIC -DPIC -o kernel/.libs/sc_cthread_process.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_main_main.cpp -fPIC -DPIC -o kernel/.libs/sc_main_main.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_module_registry.cpp -fPIC -DPIC -o kernel/.libs/sc_module_registry.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_main.cpp -fPIC -DPIC -o kernel/.libs/sc_main.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_cor_qt.cpp -fPIC -DPIC -o kernel/.libs/sc_cor_qt.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_object_manager.cpp -fPIC -DPIC -o kernel/.libs/sc_object_manager.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_method_process.cpp -fPIC -DPIC -o kernel/.libs/sc_method_process.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_except.cpp -fPIC -DPIC -o kernel/.libs/sc_except.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_reset.cpp -fPIC -DPIC -o kernel/.libs/sc_reset.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_object.cpp -fPIC -DPIC -o kernel/.libs/sc_object.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_module_name.cpp -fPIC -DPIC -o kernel/.libs/sc_module_name.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_module.cpp -fPIC -DPIC -o kernel/.libs/sc_module.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_simcontext.cpp -fPIC -DPIC -o kernel/.libs/sc_simcontext.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_join.cpp -fPIC -DPIC -o kernel/.libs/sc_join.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_name_gen.cpp -fPIC -DPIC -o kernel/.libs/sc_name_gen.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_event.cpp -fPIC -DPIC -o kernel/.libs/sc_event.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_process.cpp -fPIC -DPIC -o kernel/.libs/sc_process.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_phase_callback_registry.cpp -fPIC -DPIC -o kernel/.libs/sc_phase_callback_registry.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_sensitive.cpp -fPIC -DPIC -o kernel/.libs/sc_sensitive.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_main.cpp -o kernel/sc_main.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_module_name.cpp -o kernel/sc_module_name.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_spawn_options.lo kernel/sc_spawn_options.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_spawn_options.cpp -fPIC -DPIC -o kernel/.libs/sc_spawn_options.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_attribute.cpp -o kernel/sc_attribute.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_except.cpp -o kernel/sc_except.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_main_main.cpp -o kernel/sc_main_main.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_name_gen.cpp -o kernel/sc_name_gen.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_module_registry.cpp -o kernel/sc_module_registry.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_join.cpp -o kernel/sc_join.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_cor_qt.cpp -o kernel/sc_cor_qt.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_thread_process.lo kernel/sc_thread_process.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_cthread_process.cpp -o kernel/sc_cthread_process.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_thread_process.cpp -fPIC -DPIC -o kernel/.libs/sc_thread_process.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_phase_callback_registry.cpp -o kernel/sc_phase_callback_registry.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_sensitive.cpp -o kernel/sc_sensitive.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_method_process.cpp -o kernel/sc_method_process.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_time.lo kernel/sc_time.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_time.cpp -fPIC -DPIC -o kernel/.libs/sc_time.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_ver.lo kernel/sc_ver.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_ver.cpp -fPIC -DPIC -o kernel/.libs/sc_ver.o kernel/sc_ver.cpp:49:35: warning: macro "__DATE__" might prevent reproducible builds [-Wdate-time] 49 | "SystemC " SC_VERSION " --- " __DATE__ " " __TIME__; | ^~~~~~~~ kernel/sc_ver.cpp:49:48: warning: macro "__TIME__" might prevent reproducible builds [-Wdate-time] 49 | "SystemC " SC_VERSION " --- " __DATE__ " " __TIME__; | ^~~~~~~~ libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_reset.cpp -o kernel/sc_reset.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_object.cpp -o kernel/sc_object.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_object_manager.cpp -o kernel/sc_object_manager.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_spawn_options.cpp -o kernel/sc_spawn_options.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_wait.lo kernel/sc_wait.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_wait.cpp -fPIC -DPIC -o kernel/.libs/sc_wait.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o kernel/sc_wait_cthread.lo kernel/sc_wait_cthread.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_wait_cthread.cpp -fPIC -DPIC -o kernel/.libs/sc_wait_cthread.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_clock.lo communication/sc_clock.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_process.cpp -o kernel/sc_process.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_event.cpp -o kernel/sc_event.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_clock.cpp -fPIC -DPIC -o communication/.libs/sc_clock.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_event_finder.lo communication/sc_event_finder.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_event_finder.cpp -fPIC -DPIC -o communication/.libs/sc_event_finder.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_event_queue.lo communication/sc_event_queue.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_event_queue.cpp -fPIC -DPIC -o communication/.libs/sc_event_queue.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_ver.cpp -o kernel/sc_ver.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_export.lo communication/sc_export.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_module.cpp -o kernel/sc_module.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_export.cpp -fPIC -DPIC -o communication/.libs/sc_export.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_interface.lo communication/sc_interface.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_mutex.lo communication/sc_mutex.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_interface.cpp -fPIC -DPIC -o communication/.libs/sc_interface.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_mutex.cpp -fPIC -DPIC -o communication/.libs/sc_mutex.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_time.cpp -o kernel/sc_time.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_port.lo communication/sc_port.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_port.cpp -fPIC -DPIC -o communication/.libs/sc_port.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_prim_channel.lo communication/sc_prim_channel.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_prim_channel.cpp -fPIC -DPIC -o communication/.libs/sc_prim_channel.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_semaphore.lo communication/sc_semaphore.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_event_finder.cpp -o communication/sc_event_finder.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_thread_process.cpp -o kernel/sc_thread_process.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_semaphore.cpp -fPIC -DPIC -o communication/.libs/sc_semaphore.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_signal.lo communication/sc_signal.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_signal_ports.lo communication/sc_signal_ports.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal.cpp -fPIC -DPIC -o communication/.libs/sc_signal.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal_ports.cpp -fPIC -DPIC -o communication/.libs/sc_signal_ports.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_signal_resolved.lo communication/sc_signal_resolved.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_interface.cpp -o communication/sc_interface.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal_resolved.cpp -fPIC -DPIC -o communication/.libs/sc_signal_resolved.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_wait_cthread.cpp -o kernel/sc_wait_cthread.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_simcontext.cpp -o kernel/sc_simcontext.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c kernel/sc_wait.cpp -o kernel/sc_wait.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_mutex.cpp -o communication/sc_mutex.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_event_queue.cpp -o communication/sc_event_queue.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o communication/sc_signal_resolved_ports.lo communication/sc_signal_resolved_ports.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_export.cpp -o communication/sc_export.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal_resolved_ports.cpp -fPIC -DPIC -o communication/.libs/sc_signal_resolved_ports.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/bit/sc_bit.lo datatypes/bit/sc_bit.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_bit.cpp -fPIC -DPIC -o datatypes/bit/.libs/sc_bit.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/bit/sc_bv_base.lo datatypes/bit/sc_bv_base.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/bit/sc_logic.lo datatypes/bit/sc_logic.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_clock.cpp -o communication/sc_clock.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_bv_base.cpp -fPIC -DPIC -o datatypes/bit/.libs/sc_bv_base.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_logic.cpp -fPIC -DPIC -o datatypes/bit/.libs/sc_logic.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/bit/sc_lv_base.lo datatypes/bit/sc_lv_base.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_lv_base.cpp -fPIC -DPIC -o datatypes/bit/.libs/sc_lv_base.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxcast_switch.lo datatypes/fx/sc_fxcast_switch.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_semaphore.cpp -o communication/sc_semaphore.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal_resolved.cpp -o communication/sc_signal_resolved.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxcast_switch.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxcast_switch.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_prim_channel.cpp -o communication/sc_prim_channel.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxdefs.lo datatypes/fx/sc_fxdefs.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxnum.lo datatypes/fx/sc_fxnum.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxdefs.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxdefs.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxnum.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxnum.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_logic.cpp -o datatypes/bit/sc_logic.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_bit.cpp -o datatypes/bit/sc_bit.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxnum_observer.lo datatypes/fx/sc_fxnum_observer.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxtype_params.lo datatypes/fx/sc_fxtype_params.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxnum_observer.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxnum_observer.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxtype_params.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxtype_params.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxval.lo datatypes/fx/sc_fxval.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_port.cpp -o communication/sc_port.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal_ports.cpp -o communication/sc_signal_ports.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxdefs.cpp -o datatypes/fx/sc_fxdefs.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxval.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxval.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxcast_switch.cpp -o datatypes/fx/sc_fxcast_switch.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/sc_fxval_observer.lo datatypes/fx/sc_fxval_observer.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxval_observer.cpp -fPIC -DPIC -o datatypes/fx/.libs/sc_fxval_observer.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/scfx_mant.lo datatypes/fx/scfx_mant.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/scfx_pow10.lo datatypes/fx/scfx_pow10.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_mant.cpp -fPIC -DPIC -o datatypes/fx/.libs/scfx_mant.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/scfx_rep.lo datatypes/fx/scfx_rep.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_rep.cpp -fPIC -DPIC -o datatypes/fx/.libs/scfx_rep.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_pow10.cpp -fPIC -DPIC -o datatypes/fx/.libs/scfx_pow10.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal_resolved_ports.cpp -o communication/sc_signal_resolved_ports.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxnum_observer.cpp -o datatypes/fx/sc_fxnum_observer.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/fx/scfx_utils.lo datatypes/fx/scfx_utils.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_int_base.lo datatypes/int/sc_int_base.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_int_mask.lo datatypes/int/sc_int_mask.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_utils.cpp -fPIC -DPIC -o datatypes/fx/.libs/scfx_utils.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_length_param.lo datatypes/int/sc_length_param.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_int_mask.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_int_mask.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_int_base.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_int_base.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_length_param.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_length_param.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxval_observer.cpp -o datatypes/fx/sc_fxval_observer.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_nbexterns.lo datatypes/int/sc_nbexterns.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_nbutils.lo datatypes/int/sc_nbutils.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_nbexterns.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_nbexterns.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_nbutils.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_nbutils.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_mant.cpp -o datatypes/fx/scfx_mant.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxtype_params.cpp -o datatypes/fx/sc_fxtype_params.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_pow10.cpp -o datatypes/fx/scfx_pow10.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_signed.lo datatypes/int/sc_signed.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_signed.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_signed.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_uint_base.lo datatypes/int/sc_uint_base.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_uint_base.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_uint_base.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/int/sc_unsigned.lo datatypes/int/sc_unsigned.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_unsigned.cpp -fPIC -DPIC -o datatypes/int/.libs/sc_unsigned.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_int_mask.cpp -o datatypes/int/sc_int_mask.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_bv_base.cpp -o datatypes/bit/sc_bv_base.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxnum.cpp -o datatypes/fx/sc_fxnum.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_utils.cpp -o datatypes/fx/scfx_utils.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_length_param.cpp -o datatypes/int/sc_length_param.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/misc/sc_concatref.lo datatypes/misc/sc_concatref.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o datatypes/misc/sc_value_base.lo datatypes/misc/sc_value_base.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/misc/sc_concatref.cpp -fPIC -DPIC -o datatypes/misc/.libs/sc_concatref.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c communication/sc_signal.cpp -o communication/sc_signal.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/misc/sc_value_base.cpp -fPIC -DPIC -o datatypes/misc/.libs/sc_value_base.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_nbexterns.cpp -o datatypes/int/sc_nbexterns.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tracing/sc_trace.lo tracing/sc_trace.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_trace.cpp -fPIC -DPIC -o tracing/.libs/sc_trace.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/misc/sc_value_base.cpp -o datatypes/misc/sc_value_base.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tracing/sc_trace_file_base.lo tracing/sc_trace_file_base.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_nbutils.cpp -o datatypes/int/sc_nbutils.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_trace_file_base.cpp -fPIC -DPIC -o tracing/.libs/sc_trace_file_base.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tracing/sc_vcd_trace.lo tracing/sc_vcd_trace.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tracing/sc_wif_trace.lo tracing/sc_wif_trace.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_vcd_trace.cpp -fPIC -DPIC -o tracing/.libs/sc_vcd_trace.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_wif_trace.cpp -fPIC -DPIC -o tracing/.libs/sc_wif_trace.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_hash.lo utils/sc_hash.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_hash.cpp -fPIC -DPIC -o utils/.libs/sc_hash.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_list.lo utils/sc_list.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_list.cpp -fPIC -DPIC -o utils/.libs/sc_list.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/misc/sc_concatref.cpp -o datatypes/misc/sc_concatref.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_mempool.lo utils/sc_mempool.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_mempool.cpp -fPIC -DPIC -o utils/.libs/sc_mempool.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_mempool.cpp -o utils/sc_mempool.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_hash.cpp -o utils/sc_hash.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_pq.lo utils/sc_pq.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_pq.cpp -fPIC -DPIC -o utils/.libs/sc_pq.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_trace.cpp -o tracing/sc_trace.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_pq.cpp -o utils/sc_pq.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_report.lo utils/sc_report.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_list.cpp -o utils/sc_list.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_report.cpp -fPIC -DPIC -o utils/.libs/sc_report.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/sc_fxval.cpp -o datatypes/fx/sc_fxval.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_report_handler.lo utils/sc_report_handler.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/bit/sc_lv_base.cpp -o datatypes/bit/sc_lv_base.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_stop_here.lo utils/sc_stop_here.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_report_handler.cpp -fPIC -DPIC -o utils/.libs/sc_report_handler.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_string.lo utils/sc_string.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_stop_here.cpp -fPIC -DPIC -o utils/.libs/sc_stop_here.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_string.cpp -fPIC -DPIC -o utils/.libs/sc_string.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_report.cpp -o utils/sc_report.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_stop_here.cpp -o utils/sc_stop_here.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_utils_ids.lo utils/sc_utils_ids.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_utils_ids.cpp -fPIC -DPIC -o utils/.libs/sc_utils_ids.o /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o utils/sc_vector.lo utils/sc_vector.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_vector.cpp -fPIC -DPIC -o utils/.libs/sc_vector.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/fx/scfx_rep.cpp -o datatypes/fx/scfx_rep.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_trace_file_base.cpp -o tracing/sc_trace_file_base.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_string.cpp -o utils/sc_string.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_utils_ids.cpp -o utils/sc_utils_ids.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_int_base.cpp -o datatypes/int/sc_int_base.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_report_handler.cpp -o utils/sc_report_handler.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_uint_base.cpp -o datatypes/int/sc_uint_base.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c utils/sc_vector.cpp -o utils/sc_vector.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_wif_trace.cpp -o tracing/sc_wif_trace.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tracing/sc_vcd_trace.cpp -o tracing/sc_vcd_trace.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_unsigned.cpp -o datatypes/int/sc_unsigned.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" "-DPACKAGE_STRING=\"SystemC 2.3.4\"" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c datatypes/int/sc_signed.cpp -o datatypes/int/sc_signed.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -DPACKAGE_NAME=\"SystemC\" -DPACKAGE_TARNAME=\"systemc\" -DPACKAGE_VERSION=\"2.3.4\" -DPACKAGE_STRING=\"SystemC\ 2.3.4\" -DPACKAGE_BUGREPORT=\"http://forums.accellera.org/forum/9-systemc/\" -DPACKAGE_URL=\"http://accellera.org/\" -DHAVE_PTHREAD_PRIO_INHERIT=1 -DHAVE_PTHREAD=1 -DSC_HAVE_POSIX_MEMALIGN=1 -DHAVE_STDIO_H=1 -DHAVE_STDLIB_H=1 -DHAVE_STRING_H=1 -DHAVE_INTTYPES_H=1 -DHAVE_STDINT_H=1 -DHAVE_STRINGS_H=1 -DHAVE_SYS_STAT_H=1 -DHAVE_SYS_TYPES_H=1 -DHAVE_UNISTD_H=1 -DSTDC_HEADERS=1 -DHAVE_DLFCN_H=1 -DLT_OBJDIR=\".libs/\" -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o libsysc.la kernel/sc_attribute.lo kernel/sc_cor_qt.lo kernel/sc_cthread_process.lo kernel/sc_event.lo kernel/sc_except.lo kernel/sc_join.lo kernel/sc_main.lo kernel/sc_main_main.lo kernel/sc_method_process.lo kernel/sc_module.lo kernel/sc_module_name.lo kernel/sc_module_registry.lo kernel/sc_name_gen.lo kernel/sc_object.lo kernel/sc_object_manager.lo kernel/sc_phase_callback_registry.lo kernel/sc_process.lo kernel/sc_reset.lo kernel/sc_sensitive.lo kernel/sc_simcontext.lo kernel/sc_spawn_options.lo kernel/sc_thread_process.lo kernel/sc_time.lo kernel/sc_ver.lo kernel/sc_wait.lo kernel/sc_wait_cthread.lo communication/sc_clock.lo communication/sc_event_finder.lo communication/sc_event_queue.lo communication/sc_export.lo communication/sc_interface.lo communication/sc_mutex.lo communication/sc_port.lo communication/sc_prim_channel.lo communication/sc_semaphore.lo communication/sc_signal.lo communication/sc_signal_ports.lo communication/sc_signal_resolved.lo communication/sc_signal_resolved_ports.lo datatypes/bit/sc_bit.lo datatypes/bit/sc_bv_base.lo datatypes/bit/sc_logic.lo datatypes/bit/sc_lv_base.lo datatypes/fx/sc_fxcast_switch.lo datatypes/fx/sc_fxdefs.lo datatypes/fx/sc_fxnum.lo datatypes/fx/sc_fxnum_observer.lo datatypes/fx/sc_fxtype_params.lo datatypes/fx/sc_fxval.lo datatypes/fx/sc_fxval_observer.lo datatypes/fx/scfx_mant.lo datatypes/fx/scfx_pow10.lo datatypes/fx/scfx_rep.lo datatypes/fx/scfx_utils.lo datatypes/int/sc_int_base.lo datatypes/int/sc_int_mask.lo datatypes/int/sc_length_param.lo datatypes/int/sc_nbexterns.lo datatypes/int/sc_nbutils.lo datatypes/int/sc_signed.lo datatypes/int/sc_uint_base.lo datatypes/int/sc_unsigned.lo datatypes/misc/sc_concatref.lo datatypes/misc/sc_value_base.lo tracing/sc_trace.lo tracing/sc_trace_file_base.lo tracing/sc_vcd_trace.lo tracing/sc_wif_trace.lo utils/sc_hash.lo utils/sc_list.lo utils/sc_mempool.lo utils/sc_pq.lo utils/sc_report.lo utils/sc_report_handler.lo utils/sc_stop_here.lo utils/sc_string.lo utils/sc_utils_ids.lo utils/sc_vector.lo packages/qt/libqt.la libtool: link: (cd .libs/libsysc.lax/libqt.a && ar x "/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt/.libs/libqt.a") libtool: link: ar cr .libs/libsysc.a kernel/.libs/sc_attribute.o kernel/.libs/sc_cor_qt.o kernel/.libs/sc_cthread_process.o kernel/.libs/sc_event.o kernel/.libs/sc_except.o kernel/.libs/sc_join.o kernel/.libs/sc_main.o kernel/.libs/sc_main_main.o kernel/.libs/sc_method_process.o kernel/.libs/sc_module.o kernel/.libs/sc_module_name.o kernel/.libs/sc_module_registry.o kernel/.libs/sc_name_gen.o kernel/.libs/sc_object.o kernel/.libs/sc_object_manager.o kernel/.libs/sc_phase_callback_registry.o kernel/.libs/sc_process.o kernel/.libs/sc_reset.o kernel/.libs/sc_sensitive.o kernel/.libs/sc_simcontext.o kernel/.libs/sc_spawn_options.o kernel/.libs/sc_thread_process.o kernel/.libs/sc_time.o kernel/.libs/sc_ver.o kernel/.libs/sc_wait.o kernel/.libs/sc_wait_cthread.o communication/.libs/sc_clock.o communication/.libs/sc_event_finder.o communication/.libs/sc_event_queue.o communication/.libs/sc_export.o communication/.libs/sc_interface.o communication/.libs/sc_mutex.o communication/.libs/sc_port.o communication/.libs/sc_prim_channel.o communication/.libs/sc_semaphore.o communication/.libs/sc_signal.o communication/.libs/sc_signal_ports.o communication/.libs/sc_signal_resolved.o communication/.libs/sc_signal_resolved_ports.o datatypes/bit/.libs/sc_bit.o datatypes/bit/.libs/sc_bv_base.o datatypes/bit/.libs/sc_logic.o datatypes/bit/.libs/sc_lv_base.o datatypes/fx/.libs/sc_fxcast_switch.o datatypes/fx/.libs/sc_fxdefs.o datatypes/fx/.libs/sc_fxnum.o datatypes/fx/.libs/sc_fxnum_observer.o datatypes/fx/.libs/sc_fxtype_params.o datatypes/fx/.libs/sc_fxval.o datatypes/fx/.libs/sc_fxval_observer.o datatypes/fx/.libs/scfx_mant.o datatypes/fx/.libs/scfx_pow10.o datatypes/fx/.libs/scfx_rep.o datatypes/fx/.libs/scfx_utils.o datatypes/int/.libs/sc_int_base.o datatypes/int/.libs/sc_int_mask.o datatypes/int/.libs/sc_length_param.o datatypes/int/.libs/sc_nbexterns.o datatypes/int/.libs/sc_nbutils.o datatypes/int/.libs/sc_signed.o datatypes/int/.libs/sc_uint_base.o datatypes/int/.libs/sc_unsigned.o datatypes/misc/.libs/sc_concatref.o datatypes/misc/.libs/sc_value_base.o tracing/.libs/sc_trace.o tracing/.libs/sc_trace_file_base.o tracing/.libs/sc_vcd_trace.o tracing/.libs/sc_wif_trace.o utils/.libs/sc_hash.o utils/.libs/sc_list.o utils/.libs/sc_mempool.o utils/.libs/sc_pq.o utils/.libs/sc_report.o utils/.libs/sc_report_handler.o utils/.libs/sc_stop_here.o utils/.libs/sc_string.o utils/.libs/sc_utils_ids.o utils/.libs/sc_vector.o .libs/libsysc.lax/libqt.a/libqt_la-qt.o .libs/libsysc.lax/libqt.a/libqt_la-qtmds.o libtool: link: ranlib .libs/libsysc.a libtool: link: rm -fr .libs/libsysc.lax libtool: link: ( cd ".libs" && rm -f "libsysc.la" && ln -s "../libsysc.la" "libsysc.la" ) make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' Making all in tlm_core make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tlm_2/tlm_generic_payload/tlm_gp.lo tlm_2/tlm_generic_payload/tlm_gp.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tlm_2/tlm_generic_payload/tlm_phase.lo tlm_2/tlm_generic_payload/tlm_phase.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o tlm_2/tlm_quantum/tlm_global_quantum.lo tlm_2/tlm_quantum/tlm_global_quantum.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tlm_2/tlm_generic_payload/tlm_phase.cpp -fPIC -DPIC -o tlm_2/tlm_generic_payload/.libs/tlm_phase.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tlm_2/tlm_quantum/tlm_global_quantum.cpp -fPIC -DPIC -o tlm_2/tlm_quantum/.libs/tlm_global_quantum.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tlm_2/tlm_generic_payload/tlm_gp.cpp -fPIC -DPIC -o tlm_2/tlm_generic_payload/.libs/tlm_gp.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tlm_2/tlm_generic_payload/tlm_gp.cpp -o tlm_2/tlm_generic_payload/tlm_gp.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tlm_2/tlm_generic_payload/tlm_phase.cpp -o tlm_2/tlm_generic_payload/tlm_phase.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c tlm_2/tlm_quantum/tlm_global_quantum.cpp -o tlm_2/tlm_quantum/tlm_global_quantum.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o libtlm_core.la tlm_2/tlm_generic_payload/tlm_gp.lo tlm_2/tlm_generic_payload/tlm_phase.lo tlm_2/tlm_quantum/tlm_global_quantum.lo libtool: link: ar cr .libs/libtlm_core.a tlm_2/tlm_generic_payload/.libs/tlm_gp.o tlm_2/tlm_generic_payload/.libs/tlm_phase.o tlm_2/tlm_quantum/.libs/tlm_global_quantum.o libtool: link: ranlib .libs/libtlm_core.a libtool: link: ( cd ".libs" && rm -f "libtlm_core.la" && ln -s "../libtlm_core.la" "libtlm_core.la" ) make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' Making all in tlm_utils make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o convenience_socket_bases.lo convenience_socket_bases.cpp /bin/bash ../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o instance_specific_extensions.lo instance_specific_extensions.cpp libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c convenience_socket_bases.cpp -fPIC -DPIC -o .libs/convenience_socket_bases.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c instance_specific_extensions.cpp -fPIC -DPIC -o .libs/instance_specific_extensions.o libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c instance_specific_extensions.cpp -o instance_specific_extensions.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -DSC_BUILD -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c convenience_socket_bases.cpp -o convenience_socket_bases.o >/dev/null 2>&1 /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o libtlm_utils.la convenience_socket_bases.lo instance_specific_extensions.lo libtool: link: ar cr .libs/libtlm_utils.a .libs/convenience_socket_bases.o .libs/instance_specific_extensions.o libtool: link: ranlib .libs/libtlm_utils.a libtool: link: ( cd ".libs" && rm -f "libtlm_utils.la" && ln -s "../libtlm_utils.la" "libtlm_utils.la" ) make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' Making all in . make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' /bin/bash ../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -release 2.3.4 -Wl,-z,relro -Wl,-z,now -o libsystemc.la -rpath /usr/lib/x86_64-linux-gnu tlm_utils/libtlm_utils.la tlm_core/libtlm_core.la sysc/libsysc.la -lpthread libtool: link: g++ -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/x86_64-linux-gnu/13/../../../x86_64-linux-gnu/crti.o /usr/lib/gcc/x86_64-linux-gnu/13/crtbeginS.o -Wl,--whole-archive tlm_utils/.libs/libtlm_utils.a tlm_core/.libs/libtlm_core.a sysc/.libs/libsysc.a -Wl,--no-whole-archive -lpthread -L/usr/lib/gcc/x86_64-linux-gnu/13 -L/usr/lib/gcc/x86_64-linux-gnu/13/../../../x86_64-linux-gnu -L/usr/lib/gcc/x86_64-linux-gnu/13/../../../../lib -L/lib/x86_64-linux-gnu -L/lib/../lib -L/usr/lib/x86_64-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/x86_64-linux-gnu/13/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/x86_64-linux-gnu/13/crtendS.o /usr/lib/gcc/x86_64-linux-gnu/13/../../../x86_64-linux-gnu/crtn.o -m64 -O3 -g -O2 -fstack-protector-strong -Wl,-z -Wl,relro -Wl,-z -Wl,now -Wl,-soname -Wl,libsystemc-2.3.4.so -o .libs/libsystemc-2.3.4.so libtool: link: (cd ".libs" && rm -f "libsystemc.so" && ln -s "libsystemc-2.3.4.so" "libsystemc.so") libtool: link: (cd .libs/libsystemc.lax/libtlm_utils.a && ar x "/build/reproducible-path/systemc-2.3.4/src/tlm_utils/.libs/libtlm_utils.a") libtool: link: (cd .libs/libsystemc.lax/libtlm_core.a && ar x "/build/reproducible-path/systemc-2.3.4/src/tlm_core/.libs/libtlm_core.a") libtool: link: (cd .libs/libsystemc.lax/libsysc.a && ar x "/build/reproducible-path/systemc-2.3.4/src/sysc/.libs/libsysc.a") libtool: link: ar cr .libs/libsystemc.a .libs/libsystemc.lax/libtlm_utils.a/convenience_socket_bases.o .libs/libsystemc.lax/libtlm_utils.a/instance_specific_extensions.o .libs/libsystemc.lax/libtlm_core.a/tlm_global_quantum.o .libs/libsystemc.lax/libtlm_core.a/tlm_gp.o .libs/libsystemc.lax/libtlm_core.a/tlm_phase.o .libs/libsystemc.lax/libsysc.a/libqt_la-qt.o .libs/libsystemc.lax/libsysc.a/libqt_la-qtmds.o .libs/libsystemc.lax/libsysc.a/sc_attribute.o .libs/libsystemc.lax/libsysc.a/sc_bit.o .libs/libsystemc.lax/libsysc.a/sc_bv_base.o .libs/libsystemc.lax/libsysc.a/sc_clock.o .libs/libsystemc.lax/libsysc.a/sc_concatref.o .libs/libsystemc.lax/libsysc.a/sc_cor_qt.o .libs/libsystemc.lax/libsysc.a/sc_cthread_process.o .libs/libsystemc.lax/libsysc.a/sc_event.o .libs/libsystemc.lax/libsysc.a/sc_event_finder.o .libs/libsystemc.lax/libsysc.a/sc_event_queue.o .libs/libsystemc.lax/libsysc.a/sc_except.o .libs/libsystemc.lax/libsysc.a/sc_export.o .libs/libsystemc.lax/libsysc.a/sc_fxcast_switch.o .libs/libsystemc.lax/libsysc.a/sc_fxdefs.o .libs/libsystemc.lax/libsysc.a/sc_fxnum.o .libs/libsystemc.lax/libsysc.a/sc_fxnum_observer.o .libs/libsystemc.lax/libsysc.a/sc_fxtype_params.o .libs/libsystemc.lax/libsysc.a/sc_fxval.o .libs/libsystemc.lax/libsysc.a/sc_fxval_observer.o .libs/libsystemc.lax/libsysc.a/sc_hash.o .libs/libsystemc.lax/libsysc.a/sc_int_base.o .libs/libsystemc.lax/libsysc.a/sc_int_mask.o .libs/libsystemc.lax/libsysc.a/sc_interface.o .libs/libsystemc.lax/libsysc.a/sc_join.o .libs/libsystemc.lax/libsysc.a/sc_length_param.o .libs/libsystemc.lax/libsysc.a/sc_list.o .libs/libsystemc.lax/libsysc.a/sc_logic.o .libs/libsystemc.lax/libsysc.a/sc_lv_base.o .libs/libsystemc.lax/libsysc.a/sc_main.o .libs/libsystemc.lax/libsysc.a/sc_main_main.o .libs/libsystemc.lax/libsysc.a/sc_mempool.o .libs/libsystemc.lax/libsysc.a/sc_method_process.o .libs/libsystemc.lax/libsysc.a/sc_module.o .libs/libsystemc.lax/libsysc.a/sc_module_name.o .libs/libsystemc.lax/libsysc.a/sc_module_registry.o .libs/libsystemc.lax/libsysc.a/sc_mutex.o .libs/libsystemc.lax/libsysc.a/sc_name_gen.o .libs/libsystemc.lax/libsysc.a/sc_nbexterns.o .libs/libsystemc.lax/libsysc.a/sc_nbutils.o .libs/libsystemc.lax/libsysc.a/sc_object.o .libs/libsystemc.lax/libsysc.a/sc_object_manager.o .libs/libsystemc.lax/libsysc.a/sc_phase_callback_registry.o .libs/libsystemc.lax/libsysc.a/sc_port.o .libs/libsystemc.lax/libsysc.a/sc_pq.o .libs/libsystemc.lax/libsysc.a/sc_prim_channel.o .libs/libsystemc.lax/libsysc.a/sc_process.o .libs/libsystemc.lax/libsysc.a/sc_report.o .libs/libsystemc.lax/libsysc.a/sc_report_handler.o .libs/libsystemc.lax/libsysc.a/sc_reset.o .libs/libsystemc.lax/libsysc.a/sc_semaphore.o .libs/libsystemc.lax/libsysc.a/sc_sensitive.o .libs/libsystemc.lax/libsysc.a/sc_signal.o .libs/libsystemc.lax/libsysc.a/sc_signal_ports.o .libs/libsystemc.lax/libsysc.a/sc_signal_resolved.o .libs/libsystemc.lax/libsysc.a/sc_signal_resolved_ports.o .libs/libsystemc.lax/libsysc.a/sc_signed.o .libs/libsystemc.lax/libsysc.a/sc_simcontext.o .libs/libsystemc.lax/libsysc.a/sc_spawn_options.o .libs/libsystemc.lax/libsysc.a/sc_stop_here.o .libs/libsystemc.lax/libsysc.a/sc_string.o .libs/libsystemc.lax/libsysc.a/sc_thread_process.o .libs/libsystemc.lax/libsysc.a/sc_time.o .libs/libsystemc.lax/libsysc.a/sc_trace.o .libs/libsystemc.lax/libsysc.a/sc_trace_file_base.o .libs/libsystemc.lax/libsysc.a/sc_uint_base.o .libs/libsystemc.lax/libsysc.a/sc_unsigned.o .libs/libsystemc.lax/libsysc.a/sc_utils_ids.o .libs/libsystemc.lax/libsysc.a/sc_value_base.o .libs/libsystemc.lax/libsysc.a/sc_vcd_trace.o .libs/libsystemc.lax/libsysc.a/sc_vector.o .libs/libsystemc.lax/libsysc.a/sc_ver.o .libs/libsystemc.lax/libsysc.a/sc_wait.o .libs/libsystemc.lax/libsysc.a/sc_wait_cthread.o .libs/libsystemc.lax/libsysc.a/sc_wif_trace.o .libs/libsystemc.lax/libsysc.a/scfx_mant.o .libs/libsystemc.lax/libsysc.a/scfx_pow10.o .libs/libsystemc.lax/libsysc.a/scfx_rep.o .libs/libsystemc.lax/libsysc.a/scfx_utils.o libtool: link: ranlib .libs/libsystemc.a libtool: link: rm -fr .libs/libsystemc.lax libtool: link: ( cd ".libs" && rm -f "libsystemc.la" && ln -s "../libsystemc.la" "libsystemc.la" ) make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' Making all in examples make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' Making all in sysc make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' To compile and run the examples type make check make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' Making all in tlm make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' Making all in common make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' To compile the TLM examples library type make check make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' Making all in . make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[4]: Nothing to be done for 'all-am'. make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' To compile and run the examples type make check make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' make[3]: Nothing to be done for 'all-am'. make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4' make[2]: Nothing to be done for 'all-am'. make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4' make[1]: Leaving directory '/build/reproducible-path/systemc-2.3.4' dh_auto_test make -j20 check "TESTSUITEFLAGS=-j20 --verbose" VERBOSE=1 make[1]: Entering directory '/build/reproducible-path/systemc-2.3.4' Making check in src make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' Making check in sysc make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' Making check in packages/boost make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' make[4]: Nothing to be done for 'check'. make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' Making check in packages/qt make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make check-am make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[5]: Nothing to be done for 'check-am'. make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[4]: Nothing to be done for 'check-am'. make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' Making check in tlm_core make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' make[3]: Nothing to be done for 'check'. make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' Making check in tlm_utils make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' make[3]: Nothing to be done for 'check'. make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' Making check in . make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' make[3]: Nothing to be done for 'check-am'. make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' Making check in examples make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' Making check in sysc make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make fft/fft_flpt/test fft/fft_fxpt/test fir/test fir/test_rtl pipe/test pkt_switch/test risc_cpu/test rsa/test simple_bus/test simple_fifo/test simple_perf/test 2.1/dpipe/test 2.1/forkjoin/test 2.1/reset_signal_is/test 2.1/sc_export/test 2.1/sc_report/test 2.1/scx_barrier/test 2.1/scx_mutex_w_policy/test 2.1/specialized_signals/test 2.3/sc_rvd/test 2.3/sc_ttd/test 2.3/simple_async/test fft/fft_flpt/test.sh fft/fft_fxpt/test.sh fir/test.sh fir/test_rtl.sh pipe/test.sh pkt_switch/test.sh risc_cpu/test.sh rsa/test.sh simple_bus/test.sh simple_fifo/test.sh simple_perf/test.sh 2.1/dpipe/test.sh 2.1/forkjoin/test.sh 2.1/reset_signal_is/test.sh 2.1/sc_export/test.sh 2.1/sc_report/test.sh 2.1/scx_barrier/test.sh 2.1/scx_mutex_w_policy/test.sh 2.1/specialized_signals/test.sh 2.3/sc_rvd/test.sh 2.3/sc_ttd/test.sh 2.3/simple_async/test.sh make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_flpt/fft_fft_flpt_test-fft.o `test -f 'fft/fft_flpt/fft.cpp' || echo './'`fft/fft_flpt/fft.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_flpt/fft_fft_flpt_test-main.o `test -f 'fft/fft_flpt/main.cpp' || echo './'`fft/fft_flpt/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_flpt/fft_fft_flpt_test-sink.o `test -f 'fft/fft_flpt/sink.cpp' || echo './'`fft/fft_flpt/sink.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_flpt/fft_fft_flpt_test-source.o `test -f 'fft/fft_flpt/source.cpp' || echo './'`fft/fft_flpt/source.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_fxpt/fft_fft_fxpt_test-fft.o `test -f 'fft/fft_fxpt/fft.cpp' || echo './'`fft/fft_fxpt/fft.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_fxpt/fft_fft_fxpt_test-main.o `test -f 'fft/fft_fxpt/main.cpp' || echo './'`fft/fft_fxpt/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_fxpt/fft_fft_fxpt_test-sink.o `test -f 'fft/fft_fxpt/sink.cpp' || echo './'`fft/fft_fxpt/sink.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fft/fft_fxpt/fft_fft_fxpt_test-source.o `test -f 'fft/fft_fxpt/source.cpp' || echo './'`fft/fft_fxpt/source.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/fir_test-stimulus.o `test -f 'fir/stimulus.cpp' || echo './'`fir/stimulus.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/fir_test-display.o `test -f 'fir/display.cpp' || echo './'`fir/display.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/fir_test-fir.o `test -f 'fir/fir.cpp' || echo './'`fir/fir.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/fir_test-main.o `test -f 'fir/main.cpp' || echo './'`fir/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/stimulus.o fir/stimulus.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/display.o fir/display.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/fir_fsm.o fir/fir_fsm.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/fir_data.o fir/fir_data.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o fir/main_rtl.o fir/main_rtl.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pipe/pipe_test-display.o `test -f 'pipe/display.cpp' || echo './'`pipe/display.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pipe/pipe_test-main.o `test -f 'pipe/main.cpp' || echo './'`pipe/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pipe/pipe_test-numgen.o `test -f 'pipe/numgen.cpp' || echo './'`pipe/numgen.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pipe/pipe_test-stage1.o `test -f 'pipe/stage1.cpp' || echo './'`pipe/stage1.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pipe/pipe_test-stage2.o `test -f 'pipe/stage2.cpp' || echo './'`pipe/stage2.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pipe/pipe_test-stage3.o `test -f 'pipe/stage3.cpp' || echo './'`pipe/stage3.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pkt_switch/pkt_switch_test-fifo.o `test -f 'pkt_switch/fifo.cpp' || echo './'`pkt_switch/fifo.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pkt_switch/pkt_switch_test-main.o `test -f 'pkt_switch/main.cpp' || echo './'`pkt_switch/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pkt_switch/pkt_switch_test-receiver.o `test -f 'pkt_switch/receiver.cpp' || echo './'`pkt_switch/receiver.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pkt_switch/pkt_switch_test-sender.o `test -f 'pkt_switch/sender.cpp' || echo './'`pkt_switch/sender.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pkt_switch/pkt_switch_test-switch.o `test -f 'pkt_switch/switch.cpp' || echo './'`pkt_switch/switch.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o pkt_switch/pkt_switch_test-switch_clk.o `test -f 'pkt_switch/switch_clk.cpp' || echo './'`pkt_switch/switch_clk.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-bios.o `test -f 'risc_cpu/bios.cpp' || echo './'`risc_cpu/bios.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-dcache.o `test -f 'risc_cpu/dcache.cpp' || echo './'`risc_cpu/dcache.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-decode.o `test -f 'risc_cpu/decode.cpp' || echo './'`risc_cpu/decode.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-exec.o `test -f 'risc_cpu/exec.cpp' || echo './'`risc_cpu/exec.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-fetch.o `test -f 'risc_cpu/fetch.cpp' || echo './'`risc_cpu/fetch.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-floating.o `test -f 'risc_cpu/floating.cpp' || echo './'`risc_cpu/floating.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-icache.o `test -f 'risc_cpu/icache.cpp' || echo './'`risc_cpu/icache.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-main.o `test -f 'risc_cpu/main.cpp' || echo './'`risc_cpu/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-mmxu.o `test -f 'risc_cpu/mmxu.cpp' || echo './'`risc_cpu/mmxu.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-paging.o `test -f 'risc_cpu/paging.cpp' || echo './'`risc_cpu/paging.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o risc_cpu/risc_cpu_test-pic.o `test -f 'risc_cpu/pic.cpp' || echo './'`risc_cpu/pic.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o rsa/rsa_test-rsa.o `test -f 'rsa/rsa.cpp' || echo './'`rsa/rsa.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus.o `test -f 'simple_bus/simple_bus.cpp' || echo './'`simple_bus/simple_bus.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_arbiter.o `test -f 'simple_bus/simple_bus_arbiter.cpp' || echo './'`simple_bus/simple_bus_arbiter.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_main.o `test -f 'simple_bus/simple_bus_main.cpp' || echo './'`simple_bus/simple_bus_main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_master_blocking.o `test -f 'simple_bus/simple_bus_master_blocking.cpp' || echo './'`simple_bus/simple_bus_master_blocking.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_master_direct.o `test -f 'simple_bus/simple_bus_master_direct.cpp' || echo './'`simple_bus/simple_bus_master_direct.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_master_non_blocking.o `test -f 'simple_bus/simple_bus_master_non_blocking.cpp' || echo './'`simple_bus/simple_bus_master_non_blocking.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_types.o `test -f 'simple_bus/simple_bus_types.cpp' || echo './'`simple_bus/simple_bus_types.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_bus/simple_bus_test-simple_bus_tools.o `test -f 'simple_bus/simple_bus_tools.cpp' || echo './'`simple_bus/simple_bus_tools.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_fifo/simple_fifo_test-simple_fifo.o `test -f 'simple_fifo/simple_fifo.cpp' || echo './'`simple_fifo/simple_fifo.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o simple_perf/simple_perf_test-simple_perf.o `test -f 'simple_perf/simple_perf.cpp' || echo './'`simple_perf/simple_perf.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/dpipe/2_1_dpipe_test-main.o `test -f '2.1/dpipe/main.cpp' || echo './'`2.1/dpipe/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/forkjoin/2_1_forkjoin_test-forkjoin.o `test -f '2.1/forkjoin/forkjoin.cpp' || echo './'`2.1/forkjoin/forkjoin.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/reset_signal_is/2_1_reset_signal_is_test-reset_signal_is.o `test -f '2.1/reset_signal_is/reset_signal_is.cpp' || echo './'`2.1/reset_signal_is/reset_signal_is.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/sc_export/2_1_sc_export_test-main.o `test -f '2.1/sc_export/main.cpp' || echo './'`2.1/sc_export/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/sc_report/2_1_sc_report_test-main.o `test -f '2.1/sc_report/main.cpp' || echo './'`2.1/sc_report/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/scx_barrier/2_1_scx_barrier_test-main.o `test -f '2.1/scx_barrier/main.cpp' || echo './'`2.1/scx_barrier/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/scx_mutex_w_policy/2_1_scx_mutex_w_policy_test-scx_mutex_w_policy.o `test -f '2.1/scx_mutex_w_policy/scx_mutex_w_policy.cpp' || echo './'`2.1/scx_mutex_w_policy/scx_mutex_w_policy.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/specialized_signals/2_1_specialized_signals_test-main.o `test -f '2.1/specialized_signals/main.cpp' || echo './'`2.1/specialized_signals/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_int.o `test -f '2.1/specialized_signals/scx_signal_int.cpp' || echo './'`2.1/specialized_signals/scx_signal_int.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_uint.o `test -f '2.1/specialized_signals/scx_signal_uint.cpp' || echo './'`2.1/specialized_signals/scx_signal_uint.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_signed.o `test -f '2.1/specialized_signals/scx_signal_signed.cpp' || echo './'`2.1/specialized_signals/scx_signal_signed.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_unsigned.o `test -f '2.1/specialized_signals/scx_signal_unsigned.cpp' || echo './'`2.1/specialized_signals/scx_signal_unsigned.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -I ./2.3/sc_rvd/../include -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.3/sc_rvd/2_3_sc_rvd_test-main.o `test -f '2.3/sc_rvd/main.cpp' || echo './'`2.3/sc_rvd/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -I ./2.3/sc_ttd/../include -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.3/sc_ttd/2_3_sc_ttd_test-main.o `test -f '2.3/sc_ttd/main.cpp' || echo './'`2.3/sc_ttd/main.cpp g++ -DSC_INCLUDE_FX -I. -I../../src -I ./2.3/simple_async/../include -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o 2.3/simple_async/2_3_simple_async_test-main.o `test -f '2.3/simple_async/main.cpp' || echo './'`2.3/simple_async/main.cpp /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o fft/fft_flpt/test fft/fft_flpt/fft_fft_flpt_test-fft.o fft/fft_flpt/fft_fft_flpt_test-main.o fft/fft_flpt/fft_fft_flpt_test-sink.o fft/fft_flpt/fft_fft_flpt_test-source.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o fft/fft_fxpt/test fft/fft_fxpt/fft_fft_fxpt_test-fft.o fft/fft_fxpt/fft_fft_fxpt_test-main.o fft/fft_fxpt/fft_fft_fxpt_test-sink.o fft/fft_fxpt/fft_fft_fxpt_test-source.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o fft/fft_flpt/.libs/test fft/fft_flpt/fft_fft_flpt_test-fft.o fft/fft_flpt/fft_fft_flpt_test-main.o fft/fft_flpt/fft_fft_flpt_test-sink.o fft/fft_flpt/fft_fft_flpt_test-source.o ../../src/.libs/libsystemc.so libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o fft/fft_fxpt/.libs/test fft/fft_fxpt/fft_fft_fxpt_test-fft.o fft/fft_fxpt/fft_fft_fxpt_test-main.o fft/fft_fxpt/fft_fft_fxpt_test-sink.o fft/fft_fxpt/fft_fft_fxpt_test-source.o ../../src/.libs/libsystemc.so /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o fir/test fir/fir_test-stimulus.o fir/fir_test-display.o fir/fir_test-fir.o fir/fir_test-main.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o fir/test_rtl fir/stimulus.o fir/display.o fir/fir_fsm.o fir/fir_data.o fir/main_rtl.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o pipe/test pipe/pipe_test-display.o pipe/pipe_test-main.o pipe/pipe_test-numgen.o pipe/pipe_test-stage1.o pipe/pipe_test-stage2.o pipe/pipe_test-stage3.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o fir/.libs/test fir/fir_test-stimulus.o fir/fir_test-display.o fir/fir_test-fir.o fir/fir_test-main.o ../../src/.libs/libsystemc.so /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o pkt_switch/test pkt_switch/pkt_switch_test-fifo.o pkt_switch/pkt_switch_test-main.o pkt_switch/pkt_switch_test-receiver.o pkt_switch/pkt_switch_test-sender.o pkt_switch/pkt_switch_test-switch.o pkt_switch/pkt_switch_test-switch_clk.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o fir/.libs/test_rtl fir/stimulus.o fir/display.o fir/fir_fsm.o fir/fir_data.o fir/main_rtl.o ../../src/.libs/libsystemc.so /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o rsa/test rsa/rsa_test-rsa.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o pipe/.libs/test pipe/pipe_test-display.o pipe/pipe_test-main.o pipe/pipe_test-numgen.o pipe/pipe_test-stage1.o pipe/pipe_test-stage2.o pipe/pipe_test-stage3.o ../../src/.libs/libsystemc.so /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o simple_fifo/test simple_fifo/simple_fifo_test-simple_fifo.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/sc_export/test 2.1/sc_export/2_1_sc_export_test-main.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o pkt_switch/.libs/test pkt_switch/pkt_switch_test-fifo.o pkt_switch/pkt_switch_test-main.o pkt_switch/pkt_switch_test-receiver.o pkt_switch/pkt_switch_test-sender.o pkt_switch/pkt_switch_test-switch.o pkt_switch/pkt_switch_test-switch_clk.o ../../src/.libs/libsystemc.so set -e ; rm -f fft/fft_flpt/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#fft/fft_flpt/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > fft/fft_flpt/test.sh ; \ chmod a+x fft/fft_flpt/test.sh set -e ; rm -f fft/fft_fxpt/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#fft/fft_fxpt/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > fft/fft_fxpt/test.sh ; \ chmod a+x fft/fft_fxpt/test.sh set -e ; rm -f fir/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#fir/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > fir/test.sh ; \ chmod a+x fir/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/forkjoin/test 2.1/forkjoin/2_1_forkjoin_test-forkjoin.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o simple_perf/test simple_perf/simple_perf_test-simple_perf.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o rsa/.libs/test rsa/rsa_test-rsa.o ../../src/.libs/libsystemc.so set -e ; rm -f fir/test_rtl.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#fir/test_rtl#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > fir/test_rtl.sh ; \ chmod a+x fir/test_rtl.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o simple_fifo/.libs/test simple_fifo/simple_fifo_test-simple_fifo.o ../../src/.libs/libsystemc.so set -e ; rm -f pipe/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#pipe/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > pipe/test.sh ; \ chmod a+x pipe/test.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/sc_export/.libs/test 2.1/sc_export/2_1_sc_export_test-main.o ../../src/.libs/libsystemc.so set -e ; rm -f rsa/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#rsa/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > rsa/test.sh ; \ chmod a+x rsa/test.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/forkjoin/.libs/test 2.1/forkjoin/2_1_forkjoin_test-forkjoin.o ../../src/.libs/libsystemc.so libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o simple_perf/.libs/test simple_perf/simple_perf_test-simple_perf.o ../../src/.libs/libsystemc.so set -e ; rm -f simple_fifo/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#simple_fifo/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > simple_fifo/test.sh ; \ chmod a+x simple_fifo/test.sh set -e ; rm -f pkt_switch/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#pkt_switch/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > pkt_switch/test.sh ; \ chmod a+x pkt_switch/test.sh set -e ; rm -f 2.1/sc_export/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/sc_export/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/sc_export/test.sh ; \ chmod a+x 2.1/sc_export/test.sh set -e ; rm -f 2.1/forkjoin/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/forkjoin/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/forkjoin/test.sh ; \ chmod a+x 2.1/forkjoin/test.sh set -e ; rm -f simple_perf/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#simple_perf/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > simple_perf/test.sh ; \ chmod a+x simple_perf/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/dpipe/test 2.1/dpipe/2_1_dpipe_test-main.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/sc_report/test 2.1/sc_report/2_1_sc_report_test-main.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/dpipe/.libs/test 2.1/dpipe/2_1_dpipe_test-main.o ../../src/.libs/libsystemc.so libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/sc_report/.libs/test 2.1/sc_report/2_1_sc_report_test-main.o ../../src/.libs/libsystemc.so set -e ; rm -f 2.1/sc_report/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/sc_report/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/sc_report/test.sh ; \ chmod a+x 2.1/sc_report/test.sh set -e ; rm -f 2.1/dpipe/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/dpipe/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/dpipe/test.sh ; \ chmod a+x 2.1/dpipe/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o simple_bus/test simple_bus/simple_bus_test-simple_bus.o simple_bus/simple_bus_test-simple_bus_arbiter.o simple_bus/simple_bus_test-simple_bus_main.o simple_bus/simple_bus_test-simple_bus_master_blocking.o simple_bus/simple_bus_test-simple_bus_master_direct.o simple_bus/simple_bus_test-simple_bus_master_non_blocking.o simple_bus/simple_bus_test-simple_bus_types.o simple_bus/simple_bus_test-simple_bus_tools.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o simple_bus/.libs/test simple_bus/simple_bus_test-simple_bus.o simple_bus/simple_bus_test-simple_bus_arbiter.o simple_bus/simple_bus_test-simple_bus_main.o simple_bus/simple_bus_test-simple_bus_master_blocking.o simple_bus/simple_bus_test-simple_bus_master_direct.o simple_bus/simple_bus_test-simple_bus_master_non_blocking.o simple_bus/simple_bus_test-simple_bus_types.o simple_bus/simple_bus_test-simple_bus_tools.o ../../src/.libs/libsystemc.so /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/reset_signal_is/test 2.1/reset_signal_is/2_1_reset_signal_is_test-reset_signal_is.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/scx_mutex_w_policy/test 2.1/scx_mutex_w_policy/2_1_scx_mutex_w_policy_test-scx_mutex_w_policy.o ../../src/libsystemc.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o risc_cpu/test risc_cpu/risc_cpu_test-bios.o risc_cpu/risc_cpu_test-dcache.o risc_cpu/risc_cpu_test-decode.o risc_cpu/risc_cpu_test-exec.o risc_cpu/risc_cpu_test-fetch.o risc_cpu/risc_cpu_test-floating.o risc_cpu/risc_cpu_test-icache.o risc_cpu/risc_cpu_test-main.o risc_cpu/risc_cpu_test-mmxu.o risc_cpu/risc_cpu_test-paging.o risc_cpu/risc_cpu_test-pic.o ../../src/libsystemc.la set -e ; rm -f simple_bus/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#simple_bus/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > simple_bus/test.sh ; \ chmod a+x simple_bus/test.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/reset_signal_is/.libs/test 2.1/reset_signal_is/2_1_reset_signal_is_test-reset_signal_is.o ../../src/.libs/libsystemc.so libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/scx_mutex_w_policy/.libs/test 2.1/scx_mutex_w_policy/2_1_scx_mutex_w_policy_test-scx_mutex_w_policy.o ../../src/.libs/libsystemc.so /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/scx_barrier/test 2.1/scx_barrier/2_1_scx_barrier_test-main.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o risc_cpu/.libs/test risc_cpu/risc_cpu_test-bios.o risc_cpu/risc_cpu_test-dcache.o risc_cpu/risc_cpu_test-decode.o risc_cpu/risc_cpu_test-exec.o risc_cpu/risc_cpu_test-fetch.o risc_cpu/risc_cpu_test-floating.o risc_cpu/risc_cpu_test-icache.o risc_cpu/risc_cpu_test-main.o risc_cpu/risc_cpu_test-mmxu.o risc_cpu/risc_cpu_test-paging.o risc_cpu/risc_cpu_test-pic.o ../../src/.libs/libsystemc.so set -e ; rm -f 2.1/reset_signal_is/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/reset_signal_is/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/reset_signal_is/test.sh ; \ chmod a+x 2.1/reset_signal_is/test.sh set -e ; rm -f 2.1/scx_mutex_w_policy/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/scx_mutex_w_policy/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/scx_mutex_w_policy/test.sh ; \ chmod a+x 2.1/scx_mutex_w_policy/test.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/scx_barrier/.libs/test 2.1/scx_barrier/2_1_scx_barrier_test-main.o ../../src/.libs/libsystemc.so set -e ; rm -f risc_cpu/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#risc_cpu/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > risc_cpu/test.sh ; \ chmod a+x risc_cpu/test.sh set -e ; rm -f 2.1/scx_barrier/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/scx_barrier/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/scx_barrier/test.sh ; \ chmod a+x 2.1/scx_barrier/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.3/simple_async/test 2.3/simple_async/2_3_simple_async_test-main.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.3/simple_async/.libs/test 2.3/simple_async/2_3_simple_async_test-main.o ../../src/.libs/libsystemc.so set -e ; rm -f 2.3/simple_async/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.3/simple_async/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.3/simple_async/test.sh ; \ chmod a+x 2.3/simple_async/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.3/sc_rvd/test 2.3/sc_rvd/2_3_sc_rvd_test-main.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.3/sc_rvd/.libs/test 2.3/sc_rvd/2_3_sc_rvd_test-main.o ../../src/.libs/libsystemc.so set -e ; rm -f 2.3/sc_rvd/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.3/sc_rvd/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.3/sc_rvd/test.sh ; \ chmod a+x 2.3/sc_rvd/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.3/sc_ttd/test 2.3/sc_ttd/2_3_sc_ttd_test-main.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.3/sc_ttd/.libs/test 2.3/sc_ttd/2_3_sc_ttd_test-main.o ../../src/.libs/libsystemc.so set -e ; rm -f 2.3/sc_ttd/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.3/sc_ttd/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.3/sc_ttd/test.sh ; \ chmod a+x 2.3/sc_ttd/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o 2.1/specialized_signals/test 2.1/specialized_signals/2_1_specialized_signals_test-main.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_int.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_uint.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_signed.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_unsigned.o ../../src/libsystemc.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o 2.1/specialized_signals/.libs/test 2.1/specialized_signals/2_1_specialized_signals_test-main.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_int.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_uint.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_signed.o 2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_unsigned.o ../../src/.libs/libsystemc.so set -e ; rm -f 2.1/specialized_signals/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#2.1/specialized_signals/test#' \ -e 's#@'TESTINPUT'@#input.txt#' \ -e 's#@'TESTGOLDEN'@#golden.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > 2.1/specialized_signals/test.sh ; \ chmod a+x 2.1/specialized_signals/test.sh make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make check-TESTS make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' PASS: fir/test_rtl.sh PASS: pipe/test.sh PASS: fir/test.sh PASS: risc_cpu/test.sh PASS: pkt_switch/test.sh PASS: fft/fft_fxpt/test.sh PASS: fft/fft_flpt/test.sh PASS: simple_fifo/test.sh PASS: simple_perf/test.sh PASS: 2.1/dpipe/test.sh PASS: simple_bus/test.sh PASS: 2.1/sc_export/test.sh PASS: 2.1/forkjoin/test.sh PASS: 2.1/reset_signal_is/test.sh PASS: 2.1/sc_report/test.sh PASS: 2.1/specialized_signals/test.sh PASS: 2.1/scx_mutex_w_policy/test.sh PASS: 2.1/scx_barrier/test.sh PASS: rsa/test.sh PASS: 2.3/sc_rvd/test.sh PASS: 2.3/sc_ttd/test.sh PASS: 2.3/simple_async/test.sh ============================================================================ Testsuite summary for SystemC 2.3.4 ============================================================================ # TOTAL: 22 # PASS: 22 # SKIP: 0 # XFAIL: 0 # FAIL: 0 # XPASS: 0 # ERROR: 0 ============================================================================ make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' Making check in tlm make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' Making check in common make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' make libtlm20examples.la make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-at_initiator_annotated.lo `test -f 'src/at_initiator_annotated.cpp' || echo './'`src/at_initiator_annotated.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-at_initiator_explicit.lo `test -f 'src/at_initiator_explicit.cpp' || echo './'`src/at_initiator_explicit.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-at_target_1_phase.lo `test -f 'src/at_target_1_phase.cpp' || echo './'`src/at_target_1_phase.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-at_target_1_phase_dmi.lo `test -f 'src/at_target_1_phase_dmi.cpp' || echo './'`src/at_target_1_phase_dmi.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-at_target_2_phase.lo `test -f 'src/at_target_2_phase.cpp' || echo './'`src/at_target_2_phase.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-at_target_4_phase.lo `test -f 'src/at_target_4_phase.cpp' || echo './'`src/at_target_4_phase.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-dmi_memory.lo `test -f 'src/dmi_memory.cpp' || echo './'`src/dmi_memory.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-extension_initiator_id.lo `test -f 'src/extension_initiator_id.cpp' || echo './'`src/extension_initiator_id.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-lt_dmi_initiator.lo `test -f 'src/lt_dmi_initiator.cpp' || echo './'`src/lt_dmi_initiator.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-lt_dmi_target.lo `test -f 'src/lt_dmi_target.cpp' || echo './'`src/lt_dmi_target.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-lt_initiator.lo `test -f 'src/lt_initiator.cpp' || echo './'`src/lt_initiator.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-lt_synch_target.lo `test -f 'src/lt_synch_target.cpp' || echo './'`src/lt_synch_target.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-lt_target.lo `test -f 'src/lt_target.cpp' || echo './'`src/lt_target.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-lt_td_initiator.lo `test -f 'src/lt_td_initiator.cpp' || echo './'`src/lt_td_initiator.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-memory.lo `test -f 'src/memory.cpp' || echo './'`src/memory.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-report.lo `test -f 'src/report.cpp' || echo './'`src/report.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-select_initiator.lo `test -f 'src/select_initiator.cpp' || echo './'`src/select_initiator.cpp /bin/bash ../../../libtool --tag=CXX --mode=compile g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o src/libtlm20examples_la-traffic_generator.lo `test -f 'src/traffic_generator.cpp' || echo './'`src/traffic_generator.cpp libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_initiator_explicit.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-at_initiator_explicit.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/dmi_memory.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-dmi_memory.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_4_phase.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-at_target_4_phase.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_dmi_target.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-lt_dmi_target.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/extension_initiator_id.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-extension_initiator_id.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_initiator_annotated.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-at_initiator_annotated.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_dmi_initiator.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-lt_dmi_initiator.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_1_phase.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-at_target_1_phase.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_initiator.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-lt_initiator.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_1_phase_dmi.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-at_target_1_phase_dmi.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_synch_target.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-lt_synch_target.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_target.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-lt_target.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_2_phase.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-at_target_2_phase.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_td_initiator.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-lt_td_initiator.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/report.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-report.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/select_initiator.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-select_initiator.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/memory.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-memory.o libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/traffic_generator.cpp -fPIC -DPIC -o src/.libs/libtlm20examples_la-traffic_generator.o In file included from ../../../src/sysc/communication/sc_signal.h:32, from ../../../src/sysc/communication/sc_buffer.h:34, from ../../../src/systemc:79, from ../../../src/tlm:23, from ../../../src/tlm.h:22, from ./include/reporting.h:36, from src/at_initiator_explicit.cpp:31: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./include/at_initiator_explicit.h:51:57: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../../src/tlm:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../../src/sysc/communication/sc_signal.h:32, from ../../../src/sysc/communication/sc_buffer.h:34, from ../../../src/systemc:79, from ../../../src/tlm:23, from ../../../src/tlm.h:22, from ./include/reporting.h:36, from src/lt_initiator.cpp:31: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./include/lt_initiator.h:71:62: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../../src/tlm:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./include/lt_initiator.h:72:62: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../../src/sysc/communication/sc_signal.h:32, from ../../../src/sysc/communication/sc_buffer.h:34, from ../../../src/systemc:79, from ../../../src/tlm:23, from ../../../src/tlm.h:22, from ./include/reporting.h:36, from src/select_initiator.cpp:31: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./include/select_initiator.h:51:57: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../../src/tlm:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../../src/sysc/communication/sc_signal.h:32, from ../../../src/sysc/communication/sc_buffer.h:34, from ../../../src/systemc:79, from ../../../src/tlm:23, from ../../../src/tlm.h:22, from ./include/reporting.h:36, from src/lt_td_initiator.cpp:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./include/lt_td_initiator.h:72:56: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../../src/tlm:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/extension_initiator_id.cpp -o src/libtlm20examples_la-extension_initiator_id.o >/dev/null 2>&1 In file included from ../../../src/sysc/communication/sc_signal.h:32, from ../../../src/sysc/communication/sc_buffer.h:34, from ../../../src/systemc:79, from ../../../src/tlm:23, from ../../../src/tlm.h:22, from ./include/reporting.h:36, from src/lt_dmi_initiator.cpp:43: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./include/lt_dmi_initiator.h:78:59: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../../src/tlm:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../../src/sysc/communication/sc_signal.h:32, from ../../../src/sysc/communication/sc_buffer.h:34, from ../../../src/systemc:79, from ../../../src/tlm:23, from ../../../src/tlm.h:22, from ./include/reporting.h:36, from src/at_initiator_annotated.cpp:31: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./include/at_initiator_annotated.h:51:57: required from here ../../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../../src/tlm:30: ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/memory.cpp -o src/libtlm20examples_la-memory.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/dmi_memory.cpp -o src/libtlm20examples_la-dmi_memory.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/report.cpp -o src/libtlm20examples_la-report.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/traffic_generator.cpp -o src/libtlm20examples_la-traffic_generator.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_initiator.cpp -o src/libtlm20examples_la-lt_initiator.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_synch_target.cpp -o src/libtlm20examples_la-lt_synch_target.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_1_phase.cpp -o src/libtlm20examples_la-at_target_1_phase.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_dmi_initiator.cpp -o src/libtlm20examples_la-lt_dmi_initiator.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_4_phase.cpp -o src/libtlm20examples_la-at_target_4_phase.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_td_initiator.cpp -o src/libtlm20examples_la-lt_td_initiator.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_initiator_explicit.cpp -o src/libtlm20examples_la-at_initiator_explicit.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_2_phase.cpp -o src/libtlm20examples_la-at_target_2_phase.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_target_1_phase_dmi.cpp -o src/libtlm20examples_la-at_target_1_phase_dmi.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_dmi_target.cpp -o src/libtlm20examples_la-lt_dmi_target.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/select_initiator.cpp -o src/libtlm20examples_la-select_initiator.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/at_initiator_annotated.cpp -o src/libtlm20examples_la-at_initiator_annotated.o >/dev/null 2>&1 libtool: compile: g++ -DSC_INCLUDE_FX -I. -I./include -I../../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c src/lt_target.cpp -o src/libtlm20examples_la-lt_target.o >/dev/null 2>&1 /bin/bash ../../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o libtlm20examples.la src/libtlm20examples_la-at_initiator_annotated.lo src/libtlm20examples_la-at_initiator_explicit.lo src/libtlm20examples_la-at_target_1_phase.lo src/libtlm20examples_la-at_target_1_phase_dmi.lo src/libtlm20examples_la-at_target_2_phase.lo src/libtlm20examples_la-at_target_4_phase.lo src/libtlm20examples_la-dmi_memory.lo src/libtlm20examples_la-extension_initiator_id.lo src/libtlm20examples_la-lt_dmi_initiator.lo src/libtlm20examples_la-lt_dmi_target.lo src/libtlm20examples_la-lt_initiator.lo src/libtlm20examples_la-lt_synch_target.lo src/libtlm20examples_la-lt_target.lo src/libtlm20examples_la-lt_td_initiator.lo src/libtlm20examples_la-memory.lo src/libtlm20examples_la-report.lo src/libtlm20examples_la-select_initiator.lo src/libtlm20examples_la-traffic_generator.lo ../../../src/libsystemc.la libtool: link: ar cr .libs/libtlm20examples.a src/.libs/libtlm20examples_la-at_initiator_annotated.o src/.libs/libtlm20examples_la-at_initiator_explicit.o src/.libs/libtlm20examples_la-at_target_1_phase.o src/.libs/libtlm20examples_la-at_target_1_phase_dmi.o src/.libs/libtlm20examples_la-at_target_2_phase.o src/.libs/libtlm20examples_la-at_target_4_phase.o src/.libs/libtlm20examples_la-dmi_memory.o src/.libs/libtlm20examples_la-extension_initiator_id.o src/.libs/libtlm20examples_la-lt_dmi_initiator.o src/.libs/libtlm20examples_la-lt_dmi_target.o src/.libs/libtlm20examples_la-lt_initiator.o src/.libs/libtlm20examples_la-lt_synch_target.o src/.libs/libtlm20examples_la-lt_target.o src/.libs/libtlm20examples_la-lt_td_initiator.o src/.libs/libtlm20examples_la-memory.o src/.libs/libtlm20examples_la-report.o src/.libs/libtlm20examples_la-select_initiator.o src/.libs/libtlm20examples_la-traffic_generator.o libtool: link: ranlib .libs/libtlm20examples.a libtool: link: ( cd ".libs" && rm -f "libtlm20examples.la" && ln -s "../libtlm20examples.la" "libtlm20examples.la" ) make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' Making check in . make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make at_1_phase/test at_2_phase/test at_4_phase/test at_extension_optional/test at_mixed_targets/test at_ooo/test lt/test lt_dmi/test lt_extension_mandatory/test lt_mixed_endian/test lt_temporal_decouple/test at_1_phase/test.sh at_2_phase/test.sh at_4_phase/test.sh at_extension_optional/test.sh at_mixed_targets/test.sh at_ooo/test.sh lt/test.sh lt_dmi/test.sh lt_extension_mandatory/test.sh lt_mixed_endian/test.sh lt_temporal_decouple/test.sh make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' /usr/bin/install -c -m 644 ./common/src/at_target_4_phase.cpp at_extension_optional/at_target_4_phase.cpp /usr/bin/install -c -m 644 ./common/src/traffic_generator.cpp at_extension_optional/traffic_generator.cpp g++ -DSC_INCLUDE_FX -I. -I./at_1_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_1_phase/src/at_1_phase_test-at_1_phase.o `test -f 'at_1_phase/src/at_1_phase.cpp' || echo './'`at_1_phase/src/at_1_phase.cpp g++ -DSC_INCLUDE_FX -I. -I./at_1_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_1_phase/src/at_1_phase_test-at_1_phase_top.o `test -f 'at_1_phase/src/at_1_phase_top.cpp' || echo './'`at_1_phase/src/at_1_phase_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_1_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_1_phase/src/at_1_phase_test-initiator_top.o `test -f 'at_1_phase/src/initiator_top.cpp' || echo './'`at_1_phase/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_2_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_2_phase/src/at_2_phase_test-at_2_phase.o `test -f 'at_2_phase/src/at_2_phase.cpp' || echo './'`at_2_phase/src/at_2_phase.cpp g++ -DSC_INCLUDE_FX -I. -I./at_2_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_2_phase/src/at_2_phase_test-at_2_phase_top.o `test -f 'at_2_phase/src/at_2_phase_top.cpp' || echo './'`at_2_phase/src/at_2_phase_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_2_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_2_phase/src/at_2_phase_test-initiator_top.o `test -f 'at_2_phase/src/initiator_top.cpp' || echo './'`at_2_phase/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_4_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_4_phase/src/at_4_phase_test-at_4_phase.o `test -f 'at_4_phase/src/at_4_phase.cpp' || echo './'`at_4_phase/src/at_4_phase.cpp g++ -DSC_INCLUDE_FX -I. -I./at_4_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_4_phase/src/at_4_phase_test-at_4_phase_top.o `test -f 'at_4_phase/src/at_4_phase_top.cpp' || echo './'`at_4_phase/src/at_4_phase_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_4_phase/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_4_phase/src/at_4_phase_test-initiator_top.o `test -f 'at_4_phase/src/initiator_top.cpp' || echo './'`at_4_phase/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_extension_optional/include -I./common/include -I../../src -DUSING_EXTENSION_OPTIONAL -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_extension_optional/src/at_extension_optional_test-at_extension_optional.o `test -f 'at_extension_optional/src/at_extension_optional.cpp' || echo './'`at_extension_optional/src/at_extension_optional.cpp g++ -DSC_INCLUDE_FX -I. -I./at_extension_optional/include -I./common/include -I../../src -DUSING_EXTENSION_OPTIONAL -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_extension_optional/src/at_extension_optional_test-at_extension_optional_top.o `test -f 'at_extension_optional/src/at_extension_optional_top.cpp' || echo './'`at_extension_optional/src/at_extension_optional_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_extension_optional/include -I./common/include -I../../src -DUSING_EXTENSION_OPTIONAL -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_extension_optional/src/at_extension_optional_test-initiator_top.o `test -f 'at_extension_optional/src/initiator_top.cpp' || echo './'`at_extension_optional/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_extension_optional/include -I./common/include -I../../src -DUSING_EXTENSION_OPTIONAL -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_extension_optional/at_extension_optional_test-at_target_4_phase.o `test -f 'at_extension_optional/at_target_4_phase.cpp' || echo './'`at_extension_optional/at_target_4_phase.cpp g++ -DSC_INCLUDE_FX -I. -I./at_extension_optional/include -I./common/include -I../../src -DUSING_EXTENSION_OPTIONAL -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_extension_optional/at_extension_optional_test-traffic_generator.o `test -f 'at_extension_optional/traffic_generator.cpp' || echo './'`at_extension_optional/traffic_generator.cpp g++ -DSC_INCLUDE_FX -I. -I./at_mixed_targets/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_mixed_targets/src/at_mixed_targets_test-at_mixed_targets.o `test -f 'at_mixed_targets/src/at_mixed_targets.cpp' || echo './'`at_mixed_targets/src/at_mixed_targets.cpp g++ -DSC_INCLUDE_FX -I. -I./at_mixed_targets/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_mixed_targets/src/at_mixed_targets_test-at_mixed_targets_top.o `test -f 'at_mixed_targets/src/at_mixed_targets_top.cpp' || echo './'`at_mixed_targets/src/at_mixed_targets_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_mixed_targets/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_mixed_targets/src/at_mixed_targets_test-initiator_top.o `test -f 'at_mixed_targets/src/initiator_top.cpp' || echo './'`at_mixed_targets/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_ooo/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_ooo/src/at_ooo_test-at_ooo.o `test -f 'at_ooo/src/at_ooo.cpp' || echo './'`at_ooo/src/at_ooo.cpp g++ -DSC_INCLUDE_FX -I. -I./at_ooo/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_ooo/src/at_ooo_test-at_ooo_top.o `test -f 'at_ooo/src/at_ooo_top.cpp' || echo './'`at_ooo/src/at_ooo_top.cpp g++ -DSC_INCLUDE_FX -I. -I./at_ooo/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_ooo/src/at_ooo_test-at_target_ooo_2_phase.o `test -f 'at_ooo/src/at_target_ooo_2_phase.cpp' || echo './'`at_ooo/src/at_target_ooo_2_phase.cpp In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_extension_optional/include/at_extension_optional_top.h:36, from at_extension_optional/src/at_extension_optional_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_4_phase/include/at_4_phase_top.h:36, from at_4_phase/src/at_4_phase_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_2_phase/include/at_2_phase_top.h:36, from at_2_phase/src/at_2_phase_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from at_ooo/src/at_ooo.cpp:38: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from at_2_phase/src/at_2_phase.cpp:38: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from ./at_mixed_targets/include/at_mixed_targets_top.h:36, from at_mixed_targets/src/at_mixed_targets_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_4_phase/include/initiator_top.h:36, from at_4_phase/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_2_phase/include/initiator_top.h:36, from at_2_phase/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_ooo/include/at_ooo_top.h:37, from at_ooo/src/at_ooo_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from at_extension_optional/src/at_extension_optional.cpp:37: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_mixed_targets/include/initiator_top.h:36, from at_mixed_targets/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_extension_optional/include/initiator_top.h:36, from at_extension_optional/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from at_1_phase/src/at_1_phase.cpp:38: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_1_phase/include/initiator_top.h:36, from at_1_phase/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from at_mixed_targets/src/at_mixed_targets.cpp:38: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from at_4_phase/src/at_4_phase.cpp:38: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./common/include/reporting.h:36, from ./at_1_phase/include/at_1_phase_top.h:36, from at_1_phase/src/at_1_phase_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ g++ -DSC_INCLUDE_FX -I. -I./at_ooo/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o at_ooo/src/at_ooo_test-initiator_top.o `test -f 'at_ooo/src/initiator_top.cpp' || echo './'`at_ooo/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt/src/lt_test-initiator_top.o `test -f 'lt/src/initiator_top.cpp' || echo './'`lt/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt/src/lt_test-lt.o `test -f 'lt/src/lt.cpp' || echo './'`lt/src/lt.cpp g++ -DSC_INCLUDE_FX -I. -I./lt/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt/src/lt_test-lt_top.o `test -f 'lt/src/lt_top.cpp' || echo './'`lt/src/lt_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_dmi/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_dmi/src/lt_dmi_test-initiator_top.o `test -f 'lt_dmi/src/initiator_top.cpp' || echo './'`lt_dmi/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_dmi/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_dmi/src/lt_dmi_test-lt_dmi.o `test -f 'lt_dmi/src/lt_dmi.cpp' || echo './'`lt_dmi/src/lt_dmi.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_dmi/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_dmi/src/lt_dmi_test-lt_dmi_top.o `test -f 'lt_dmi/src/lt_dmi_top.cpp' || echo './'`lt_dmi/src/lt_dmi_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_extension_mandatory/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_extension_mandatory.o `test -f 'lt_extension_mandatory/src/lt_extension_mandatory.cpp' || echo './'`lt_extension_mandatory/src/lt_extension_mandatory.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_extension_mandatory/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_extension_mandatory_top.o `test -f 'lt_extension_mandatory/src/lt_extension_mandatory_top.cpp' || echo './'`lt_extension_mandatory/src/lt_extension_mandatory_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_extension_mandatory/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_initiator_extension_mandatory.o `test -f 'lt_extension_mandatory/src/lt_initiator_extension_mandatory.cpp' || echo './'`lt_extension_mandatory/src/lt_initiator_extension_mandatory.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_extension_mandatory/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_target_extension_mandatory.o `test -f 'lt_extension_mandatory/src/lt_target_extension_mandatory.cpp' || echo './'`lt_extension_mandatory/src/lt_target_extension_mandatory.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_mixed_endian/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_mixed_endian/src/lt_mixed_endian_test-initiator_top.o `test -f 'lt_mixed_endian/src/initiator_top.cpp' || echo './'`lt_mixed_endian/src/initiator_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_mixed_endian/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_mixed_endian/src/lt_mixed_endian_test-lt.o `test -f 'lt_mixed_endian/src/lt.cpp' || echo './'`lt_mixed_endian/src/lt.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_mixed_endian/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_mixed_endian/src/lt_mixed_endian_test-lt_top.o `test -f 'lt_mixed_endian/src/lt_top.cpp' || echo './'`lt_mixed_endian/src/lt_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_mixed_endian/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_mixed_endian/src/lt_mixed_endian_test-me_traffic_generator.o `test -f 'lt_mixed_endian/src/me_traffic_generator.cpp' || echo './'`lt_mixed_endian/src/me_traffic_generator.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_temporal_decouple/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_temporal_decouple/src/lt_temporal_decouple_test-initiator_top.o `test -f 'lt_temporal_decouple/src/initiator_top.cpp' || echo './'`lt_temporal_decouple/src/initiator_top.cpp In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./at_ooo/include/initiator_top.h:36, from at_ooo/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<>' ./common/include/select_initiator.h:51:57: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt/include/lt_top.h:37, from lt/src/lt_top.cpp:39: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ g++ -DSC_INCLUDE_FX -I. -I./lt_temporal_decouple/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_temporal_decouple/src/lt_temporal_decouple_test-lt_temporal_decouple.o `test -f 'lt_temporal_decouple/src/lt_temporal_decouple.cpp' || echo './'`lt_temporal_decouple/src/lt_temporal_decouple.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_temporal_decouple/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_temporal_decouple/src/lt_temporal_decouple_test-lt_temporal_decouple_top.o `test -f 'lt_temporal_decouple/src/lt_temporal_decouple_top.cpp' || echo './'`lt_temporal_decouple/src/lt_temporal_decouple_top.cpp g++ -DSC_INCLUDE_FX -I. -I./lt_temporal_decouple/include -I./common/include -I../../src -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -c -o lt_temporal_decouple/src/lt_temporal_decouple_test-td_initiator_top.o `test -f 'lt_temporal_decouple/src/td_initiator_top.cpp' || echo './'`lt_temporal_decouple/src/td_initiator_top.cpp In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt/include/initiator_top.h:36, from lt/src/initiator_top.cpp:40: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from lt_extension_mandatory/src/lt_extension_mandatory.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, extension_initiator_id, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./lt_extension_mandatory/include/lt_initiator_extension_mandatory.h:95:25: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt/include/lt_top.h:37, from lt/src/lt.cpp:20: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_temporal_decouple/include/initiator_top.h:36, from lt_temporal_decouple/src/initiator_top.cpp:39: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_extension_mandatory/include/lt_initiator_extension_mandatory.h:23, from lt_extension_mandatory/src/lt_initiator_extension_mandatory.cpp:20: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, extension_initiator_id, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./lt_extension_mandatory/include/lt_initiator_extension_mandatory.h:95:25: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_dmi/include/lt_dmi_top.h:35, from lt_dmi/src/lt_dmi_top.cpp:38: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_dmi_initiator.h:78:59: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o at_1_phase/test at_1_phase/src/at_1_phase_test-at_1_phase.o at_1_phase/src/at_1_phase_test-at_1_phase_top.o at_1_phase/src/at_1_phase_test-initiator_top.o ../../src/libsystemc.la common/libtlm20examples.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o at_1_phase/.libs/test at_1_phase/src/at_1_phase_test-at_1_phase.o at_1_phase/src/at_1_phase_test-at_1_phase_top.o at_1_phase/src/at_1_phase_test-initiator_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_dmi/include/initiator_top.h:36, from lt_dmi/src/initiator_top.cpp:39: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_dmi_initiator.h:78:59: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_dmi/include/lt_dmi_top.h:35, from lt_dmi/src/lt_dmi.cpp:20: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_dmi_initiator.h:78:59: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o at_2_phase/test at_2_phase/src/at_2_phase_test-at_2_phase.o at_2_phase/src/at_2_phase_test-at_2_phase_top.o at_2_phase/src/at_2_phase_test-initiator_top.o ../../src/libsystemc.la common/libtlm20examples.la In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_mixed_endian/include/lt_top.h:36, from lt_mixed_endian/src/lt.cpp:22: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o at_2_phase/.libs/test at_2_phase/src/at_2_phase_test-at_2_phase.o at_2_phase/src/at_2_phase_test-at_2_phase_top.o at_2_phase/src/at_2_phase_test-initiator_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_mixed_endian/include/lt_top.h:36, from lt_mixed_endian/src/lt_top.cpp:37: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_mixed_endian/include/initiator_top.h:36, from lt_mixed_endian/src/initiator_top.cpp:34: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o at_4_phase/test at_4_phase/src/at_4_phase_test-at_4_phase.o at_4_phase/src/at_4_phase_test-at_4_phase_top.o at_4_phase/src/at_4_phase_test-initiator_top.o ../../src/libsystemc.la common/libtlm20examples.la In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_temporal_decouple/include/lt_temporal_decouple_top.h:37, from lt_temporal_decouple/src/lt_temporal_decouple.cpp:22: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o at_4_phase/.libs/test at_4_phase/src/at_4_phase_test-at_4_phase.o at_4_phase/src/at_4_phase_test-at_4_phase_top.o at_4_phase/src/at_4_phase_test-initiator_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_extension_mandatory/include/lt_extension_mandatory_top.h:36, from lt_extension_mandatory/src/lt_extension_mandatory_top.cpp:37: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, extension_initiator_id, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./lt_extension_mandatory/include/lt_initiator_extension_mandatory.h:95:25: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if, tlm::tlm_bw_transport_if, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o at_extension_optional/test at_extension_optional/src/at_extension_optional_test-at_extension_optional.o at_extension_optional/src/at_extension_optional_test-at_extension_optional_top.o at_extension_optional/src/at_extension_optional_test-initiator_top.o at_extension_optional/at_extension_optional_test-at_target_4_phase.o at_extension_optional/at_extension_optional_test-traffic_generator.o ../../src/libsystemc.la common/libtlm20examples.la /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o at_mixed_targets/test at_mixed_targets/src/at_mixed_targets_test-at_mixed_targets.o at_mixed_targets/src/at_mixed_targets_test-at_mixed_targets_top.o at_mixed_targets/src/at_mixed_targets_test-initiator_top.o ../../src/libsystemc.la common/libtlm20examples.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o at_extension_optional/.libs/test at_extension_optional/src/at_extension_optional_test-at_extension_optional.o at_extension_optional/src/at_extension_optional_test-at_extension_optional_top.o at_extension_optional/src/at_extension_optional_test-initiator_top.o at_extension_optional/at_extension_optional_test-at_target_4_phase.o at_extension_optional/at_extension_optional_test-traffic_generator.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o at_mixed_targets/.libs/test at_mixed_targets/src/at_mixed_targets_test-at_mixed_targets.o at_mixed_targets/src/at_mixed_targets_test-at_mixed_targets_top.o at_mixed_targets/src/at_mixed_targets_test-initiator_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o at_ooo/test at_ooo/src/at_ooo_test-at_ooo.o at_ooo/src/at_ooo_test-at_ooo_top.o at_ooo/src/at_ooo_test-at_target_ooo_2_phase.o at_ooo/src/at_ooo_test-initiator_top.o ../../src/libsystemc.la common/libtlm20examples.la set -e ; rm -f at_1_phase/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#at_1_phase/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > at_1_phase/test.sh ; \ chmod a+x at_1_phase/test.sh set -e ; rm -f at_2_phase/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#at_2_phase/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > at_2_phase/test.sh ; \ chmod a+x at_2_phase/test.sh set -e ; rm -f at_4_phase/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#at_4_phase/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > at_4_phase/test.sh ; \ chmod a+x at_4_phase/test.sh set -e ; rm -f at_extension_optional/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#at_extension_optional/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > at_extension_optional/test.sh ; \ chmod a+x at_extension_optional/test.sh set -e ; rm -f at_mixed_targets/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#at_mixed_targets/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > at_mixed_targets/test.sh ; \ chmod a+x at_mixed_targets/test.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o at_ooo/.libs/test at_ooo/src/at_ooo_test-at_ooo.o at_ooo/src/at_ooo_test-at_ooo_top.o at_ooo/src/at_ooo_test-at_target_ooo_2_phase.o at_ooo/src/at_ooo_test-initiator_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread set -e ; rm -f at_ooo/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#at_ooo/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > at_ooo/test.sh ; \ chmod a+x at_ooo/test.sh In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_temporal_decouple/include/lt_temporal_decouple_top.h:37, from lt_temporal_decouple/src/lt_temporal_decouple_top.cpp:39: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_initiator.h:71:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ZERO_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:154:7: required from 'class tlm_utils::simple_initiator_socket_optional' ./common/include/lt_initiator.h:72:62: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ZERO_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ In file included from ../../src/sysc/communication/sc_signal.h:32, from ../../src/sysc/communication/sc_buffer.h:34, from ../../src/systemc:79, from ../../src/tlm:23, from ../../src/tlm.h:22, from ./lt_temporal_decouple/include/td_initiator_top.h:37, from lt_temporal_decouple/src/td_initiator_top.cpp:40: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h: In instantiation of 'class tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>': ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:193:7: required from 'class tlm::tlm_initiator_socket<32, tlm::tlm_base_protocol_types, 1, sc_core::SC_ONE_OR_MORE_BOUND>' ../../src/tlm_utils/simple_initiator_socket.h:30:7: required from 'class tlm_utils::simple_initiator_socket_b' ../../src/tlm_utils/simple_initiator_socket.h:143:7: required from 'class tlm_utils::simple_initiator_socket' ./common/include/lt_td_initiator.h:72:56: required from here ../../src/sysc/communication/sc_port.h:294:22: warning: 'void sc_core::sc_port_b::bind(port_type&) [with IF = tlm::tlm_fw_transport_if<>; port_type = sc_core::sc_port_b >]' was hidden [-Woverloaded-virtual=] 294 | SC_VIRTUAL_ void bind( port_type& parent_ ) | ^~~~ In file included from ../../src/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h:23, from ../../src/tlm:30: ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ ../../src/sysc/communication/sc_port.h:285:22: warning: 'void sc_core::sc_port_b::bind(IF&) [with IF = tlm::tlm_fw_transport_if<>]' was hidden [-Woverloaded-virtual=] 285 | SC_VIRTUAL_ void bind( IF& interface_ ) | ^~~~ ../../src/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h:147:16: note: by 'tlm::tlm_base_initiator_socket<32, tlm::tlm_fw_transport_if<>, tlm::tlm_bw_transport_if<>, 1, sc_core::SC_ONE_OR_MORE_BOUND>::bind' 147 | virtual void bind(bw_interface_type& ifs) | ^~~~ /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o lt_extension_mandatory/test lt_extension_mandatory/src/lt_extension_mandatory_test-lt_extension_mandatory.o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_extension_mandatory_top.o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_initiator_extension_mandatory.o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_target_extension_mandatory.o ../../src/libsystemc.la common/libtlm20examples.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o lt_extension_mandatory/.libs/test lt_extension_mandatory/src/lt_extension_mandatory_test-lt_extension_mandatory.o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_extension_mandatory_top.o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_initiator_extension_mandatory.o lt_extension_mandatory/src/lt_extension_mandatory_test-lt_target_extension_mandatory.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread set -e ; rm -f lt_extension_mandatory/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#lt_extension_mandatory/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > lt_extension_mandatory/test.sh ; \ chmod a+x lt_extension_mandatory/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o lt_dmi/test lt_dmi/src/lt_dmi_test-initiator_top.o lt_dmi/src/lt_dmi_test-lt_dmi.o lt_dmi/src/lt_dmi_test-lt_dmi_top.o ../../src/libsystemc.la common/libtlm20examples.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o lt_dmi/.libs/test lt_dmi/src/lt_dmi_test-initiator_top.o lt_dmi/src/lt_dmi_test-lt_dmi.o lt_dmi/src/lt_dmi_test-lt_dmi_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o lt_mixed_endian/test lt_mixed_endian/src/lt_mixed_endian_test-initiator_top.o lt_mixed_endian/src/lt_mixed_endian_test-lt.o lt_mixed_endian/src/lt_mixed_endian_test-lt_top.o lt_mixed_endian/src/lt_mixed_endian_test-me_traffic_generator.o ../../src/libsystemc.la common/libtlm20examples.la set -e ; rm -f lt_dmi/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#lt_dmi/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > lt_dmi/test.sh ; \ chmod a+x lt_dmi/test.sh libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o lt_mixed_endian/.libs/test lt_mixed_endian/src/lt_mixed_endian_test-initiator_top.o lt_mixed_endian/src/lt_mixed_endian_test-lt.o lt_mixed_endian/src/lt_mixed_endian_test-lt_top.o lt_mixed_endian/src/lt_mixed_endian_test-me_traffic_generator.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread set -e ; rm -f lt_mixed_endian/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#lt_mixed_endian/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@#"::"#' \ ../../config/test.sh.in > lt_mixed_endian/test.sh ; \ chmod a+x lt_mixed_endian/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o lt/test lt/src/lt_test-initiator_top.o lt/src/lt_test-lt.o lt/src/lt_test-lt_top.o ../../src/libsystemc.la common/libtlm20examples.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o lt/.libs/test lt/src/lt_test-initiator_top.o lt/src/lt_test-lt.o lt/src/lt_test-lt_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread set -e ; rm -f lt/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#lt/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > lt/test.sh ; \ chmod a+x lt/test.sh /bin/bash ../../libtool --tag=CXX --mode=link g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -o lt_temporal_decouple/test lt_temporal_decouple/src/lt_temporal_decouple_test-initiator_top.o lt_temporal_decouple/src/lt_temporal_decouple_test-lt_temporal_decouple.o lt_temporal_decouple/src/lt_temporal_decouple_test-lt_temporal_decouple_top.o lt_temporal_decouple/src/lt_temporal_decouple_test-td_initiator_top.o ../../src/libsystemc.la common/libtlm20examples.la libtool: link: g++ -Wall -m64 -O3 -g -O2 -ffile-prefix-map=/build/reproducible-path/systemc-2.3.4=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z -Wl,relro -Wl,-z -Wl,now -o lt_temporal_decouple/.libs/test lt_temporal_decouple/src/lt_temporal_decouple_test-initiator_top.o lt_temporal_decouple/src/lt_temporal_decouple_test-lt_temporal_decouple.o lt_temporal_decouple/src/lt_temporal_decouple_test-lt_temporal_decouple_top.o lt_temporal_decouple/src/lt_temporal_decouple_test-td_initiator_top.o ../../src/.libs/libsystemc.so common/.libs/libtlm20examples.a /build/reproducible-path/systemc-2.3.4/src/.libs/libsystemc.so -lpthread set -e ; rm -f lt_temporal_decouple/test.sh ; \ /usr/bin/sed -e 's#@'SHELL'@#/bin/bash#' \ -e 's#@'TEST'@#lt_temporal_decouple/test#' \ -e 's#@'TESTINPUT'@#results/input.txt#' \ -e 's#@'TESTGOLDEN'@#results/expected.log#' \ -e 's#@'TESTFILTER'@##' \ ../../config/test.sh.in > lt_temporal_decouple/test.sh ; \ chmod a+x lt_temporal_decouple/test.sh make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make check-TESTS make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[6]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' PASS: at_2_phase/test.sh PASS: at_extension_optional/test.sh PASS: lt_extension_mandatory/test.sh PASS: lt_dmi/test.sh PASS: at_4_phase/test.sh PASS: lt/test.sh PASS: at_1_phase/test.sh PASS: at_mixed_targets/test.sh PASS: lt_mixed_endian/test.sh PASS: lt_temporal_decouple/test.sh PASS: at_ooo/test.sh ============================================================================ Testsuite summary for "TLM 2.0.6" ============================================================================ # TOTAL: 11 # PASS: 11 # SKIP: 0 # XFAIL: 0 # FAIL: 0 # XPASS: 0 # ERROR: 0 ============================================================================ make[6]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' make[3]: Nothing to be done for 'check-am'. make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4' make[2]: Nothing to be done for 'check-am'. make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4' make[1]: Leaving directory '/build/reproducible-path/systemc-2.3.4' create-stamp debian/debhelper-build-stamp fakeroot debian/rules binary dh binary dh_testroot dh_prep dh_auto_install make -j1 install DESTDIR=/build/reproducible-path/systemc-2.3.4/debian/tmp AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/build/reproducible-path/systemc-2.3.4' Making install in src make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' Making install in sysc make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' Making install in packages/boost make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config' /usr/bin/install -c -m 644 config/posix_features.hpp config/select_compiler_config.hpp config/select_platform_config.hpp config/select_stdlib_config.hpp config/suffix.hpp config/user.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config/platform' /usr/bin/install -c -m 644 config/platform/aix.hpp config/platform/amigaos.hpp config/platform/beos.hpp config/platform/bsd.hpp config/platform/cygwin.hpp config/platform/hpux.hpp config/platform/irix.hpp config/platform/linux.hpp config/platform/macos.hpp config/platform/solaris.hpp config/platform/win32.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config/platform' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/detail' /usr/bin/install -c -m 644 detail/endian.hpp detail/workaround.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/detail' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/mpl/aux_/config' /usr/bin/install -c -m 644 mpl/aux_/config/adl.hpp mpl/aux_/config/gcc.hpp mpl/aux_/config/intel.hpp mpl/aux_/config/msvc.hpp mpl/aux_/config/static_constant.hpp mpl/aux_/config/workaround.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/mpl/aux_/config' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/mpl' /usr/bin/install -c -m 644 mpl/bool.hpp mpl/bool_fwd.hpp mpl/integral_c_tag.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/mpl' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/utility' /usr/bin/install -c -m 644 utility/addressof.hpp utility/enable_if.hpp utility/string_view.hpp utility/string_view_fwd.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/utility' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/mpl/aux_' /usr/bin/install -c -m 644 mpl/aux_/adl_barrier.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/mpl/aux_' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config/stdlib' /usr/bin/install -c -m 644 config/stdlib/dinkumware.hpp config/stdlib/libcomo.hpp config/stdlib/libstdcpp3.hpp config/stdlib/modena.hpp config/stdlib/msl.hpp config/stdlib/roguewave.hpp config/stdlib/sgi.hpp config/stdlib/stlport.hpp config/stdlib/vacpp.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config/stdlib' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config/compiler' /usr/bin/install -c -m 644 config/compiler/borland.hpp config/compiler/comeau.hpp config/compiler/common_edg.hpp config/compiler/compaq_cxx.hpp config/compiler/digitalmars.hpp config/compiler/gcc.hpp config/compiler/greenhills.hpp config/compiler/hp_acc.hpp config/compiler/intel.hpp config/compiler/kai.hpp config/compiler/metrowerks.hpp config/compiler/mpw.hpp config/compiler/sgi_mipspro.hpp config/compiler/sunpro_cc.hpp config/compiler/vacpp.hpp config/compiler/visualc.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/config/compiler' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/bind' /usr/bin/install -c -m 644 bind/apply.hpp bind/arg.hpp bind/bind_cc.hpp bind/bind_mf_cc.hpp bind/bind_template.hpp bind/make_adaptable.hpp bind/mem_fn_cc.hpp bind/mem_fn_template.hpp bind/mem_fn_vw.hpp bind/placeholders.hpp bind/protect.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/bind' /usr/bin/install -c -m 644 bind.hpp config.hpp get_pointer.hpp mem_fn.hpp non_type.hpp ref.hpp type.hpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/packages/boost/.' make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/boost' Making install in packages/qt make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make install-am make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[6]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[6]: Nothing to be done for 'install-exec-am'. make[6]: Nothing to be done for 'install-data-am'. make[6]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc/packages/qt' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/int' /usr/bin/install -c -m 644 datatypes/int/sc_bigint.h datatypes/int/sc_biguint.h datatypes/int/sc_int.h datatypes/int/sc_int_base.h datatypes/int/sc_int_ids.h datatypes/int/sc_length_param.h datatypes/int/sc_nbdefs.h datatypes/int/sc_nbexterns.h datatypes/int/sc_nbutils.h datatypes/int/sc_signed.h datatypes/int/sc_uint.h datatypes/int/sc_uint_base.h datatypes/int/sc_unsigned.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/int' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/fx' /usr/bin/install -c -m 644 datatypes/fx/fx.h datatypes/fx/sc_context.h datatypes/fx/sc_fix.h datatypes/fx/sc_fixed.h datatypes/fx/sc_fx_ids.h datatypes/fx/sc_fxcast_switch.h datatypes/fx/sc_fxdefs.h datatypes/fx/sc_fxnum.h datatypes/fx/sc_fxnum_observer.h datatypes/fx/sc_fxtype_params.h datatypes/fx/sc_fxval.h datatypes/fx/sc_fxval_observer.h datatypes/fx/sc_ufix.h datatypes/fx/sc_ufixed.h datatypes/fx/scfx_ieee.h datatypes/fx/scfx_mant.h datatypes/fx/scfx_other_defs.h datatypes/fx/scfx_params.h datatypes/fx/scfx_rep.h datatypes/fx/scfx_string.h datatypes/fx/scfx_utils.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/fx' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/misc' /usr/bin/install -c -m 644 datatypes/misc/sc_concatref.h datatypes/misc/sc_value_base.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/misc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/utils' /usr/bin/install -c -m 644 utils/sc_hash.h utils/sc_list.h utils/sc_machine.h utils/sc_mempool.h utils/sc_pq.h utils/sc_pvector.h utils/sc_report.h utils/sc_report_handler.h utils/sc_string.h utils/sc_string_view.h utils/sc_temporary.h utils/sc_typeindex.h utils/sc_utils_ids.h utils/sc_vector.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/utils' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/kernel' /usr/bin/install -c -m 644 kernel/sc_attribute.h kernel/sc_cmnhdr.h kernel/sc_constants.h kernel/sc_cor.h kernel/sc_dynamic_processes.h kernel/sc_event.h kernel/sc_except.h kernel/sc_externs.h kernel/sc_join.h kernel/sc_kernel_ids.h kernel/sc_macros.h kernel/sc_module.h kernel/sc_module_name.h kernel/sc_object.h kernel/sc_process.h kernel/sc_process_handle.h kernel/sc_runnable.h kernel/sc_sensitive.h kernel/sc_spawn.h kernel/sc_spawn_options.h kernel/sc_status.h kernel/sc_simcontext.h kernel/sc_time.h kernel/sc_ver.h kernel/sc_wait.h kernel/sc_wait_cthread.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/kernel' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/communication' /usr/bin/install -c -m 644 communication/sc_buffer.h communication/sc_clock.h communication/sc_clock_ports.h communication/sc_communication_ids.h communication/sc_event_finder.h communication/sc_event_queue.h communication/sc_export.h communication/sc_fifo.h communication/sc_fifo_ifs.h communication/sc_fifo_ports.h communication/sc_host_mutex.h communication/sc_host_semaphore.h communication/sc_interface.h communication/sc_mutex.h communication/sc_mutex_if.h communication/sc_port.h communication/sc_prim_channel.h communication/sc_semaphore.h communication/sc_semaphore_if.h communication/sc_signal.h communication/sc_signal_ifs.h communication/sc_signal_ports.h communication/sc_signal_resolved.h communication/sc_signal_resolved_ports.h communication/sc_signal_rv.h communication/sc_signal_rv_ports.h communication/sc_writer_policy.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/communication' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/bit' /usr/bin/install -c -m 644 datatypes/bit/sc_bit.h datatypes/bit/sc_bit_ids.h datatypes/bit/sc_bit_proxies.h datatypes/bit/sc_bv.h datatypes/bit/sc_bv_base.h datatypes/bit/sc_logic.h datatypes/bit/sc_lv.h datatypes/bit/sc_lv_base.h datatypes/bit/sc_proxy.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/datatypes/bit' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/tracing' /usr/bin/install -c -m 644 tracing/sc_trace.h tracing/sc_tracing_ids.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/sysc/tracing' make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/sysc' Making install in tlm_core make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_ports' /usr/bin/install -c -m 644 tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h tlm_1/tlm_req_rsp/tlm_ports/tlm_nonblocking_port.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_ports' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_sockets' /usr/bin/install -c -m 644 tlm_2/tlm_sockets/tlm_base_socket_if.h tlm_2/tlm_sockets/tlm_initiator_socket.h tlm_2/tlm_sockets/tlm_sockets.h tlm_2/tlm_sockets/tlm_target_socket.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_sockets' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_2_interfaces' /usr/bin/install -c -m 644 tlm_2/tlm_2_interfaces/tlm_2_interfaces.h tlm_2/tlm_2_interfaces/tlm_dmi.h tlm_2/tlm_2_interfaces/tlm_fw_bw_ifs.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_2_interfaces' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp' /usr/bin/install -c -m 644 tlm_1/tlm_req_rsp/tlm_req_rsp.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces' /usr/bin/install -c -m 644 tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_core_ifs.h tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_fifo_ifs.h tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_master_slave_ifs.h tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_tag.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels' /usr/bin/install -c -m 644 tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/tlm_put_get_imp.h tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/tlm_req_rsp_channels.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_quantum' /usr/bin/install -c -m 644 tlm_2/tlm_quantum/tlm_global_quantum.h tlm_2/tlm_quantum/tlm_quantum.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_quantum' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo' /usr/bin/install -c -m 644 tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/circular_buffer.h tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo.h tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_peek.h tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_put_get.h tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_resize.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_analysis' /usr/bin/install -c -m 644 tlm_1/tlm_analysis/tlm_analysis.h tlm_1/tlm_analysis/tlm_analysis_fifo.h tlm_1/tlm_analysis/tlm_analysis_if.h tlm_1/tlm_analysis/tlm_analysis_port.h tlm_1/tlm_analysis/tlm_analysis_triple.h tlm_1/tlm_analysis/tlm_write_if.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_analysis' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_generic_payload' /usr/bin/install -c -m 644 tlm_2/tlm_generic_payload/tlm_array.h tlm_2/tlm_generic_payload/tlm_endian_conv.h tlm_2/tlm_generic_payload/tlm_generic_payload.h tlm_2/tlm_generic_payload/tlm_gp.h tlm_2/tlm_generic_payload/tlm_helpers.h tlm_2/tlm_generic_payload/tlm_phase.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2/tlm_generic_payload' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2' /usr/bin/install -c -m 644 tlm_2/tlm_version.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_2' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters' /usr/bin/install -c -m 644 tlm_1/tlm_req_rsp/tlm_adapters/tlm_adapters.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_core' Making install in tlm_utils make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_utils' /usr/bin/install -c -m 644 convenience_socket_bases.h instance_specific_extensions.h instance_specific_extensions_int.h multi_passthrough_initiator_socket.h multi_passthrough_target_socket.h multi_socket_bases.h passthrough_target_socket.h peq_with_cb_and_phase.h peq_with_get.h simple_initiator_socket.h simple_target_socket.h tlm_quantumkeeper.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/tlm_utils/.' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src/tlm_utils' Making install in . make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/src' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu' /bin/bash ../libtool --mode=install /usr/bin/install -c libsystemc.la '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu' libtool: install: /usr/bin/install -c .libs/libsystemc-2.3.4.so /build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/libsystemc-2.3.4.so libtool: install: (cd /build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu && { ln -s -f libsystemc-2.3.4.so libsystemc.so || { rm -f libsystemc.so && ln -s libsystemc-2.3.4.so libsystemc.so; }; }) libtool: install: /usr/bin/install -c .libs/libsystemc.lai /build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/libsystemc.la libtool: install: /usr/bin/install -c .libs/libsystemc.a /build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/libsystemc.a libtool: install: chmod 644 /build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/libsystemc.a libtool: install: ranlib /build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/libsystemc.a libtool: warning: remember to run 'libtool --finish /usr/lib/x86_64-linux-gnu' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include' /usr/bin/install -c -m 644 systemc systemc.h tlm tlm.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/include/.' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/pkgconfig' /usr/bin/install -c -m 644 systemc.pc tlm.pc '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/lib/x86_64-linux-gnu/pkgconfig' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4/src' Making install in examples make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' Making install in sysc make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/scx_mutex_w_policy' /usr/bin/install -c -m 644 2.1/scx_mutex_w_policy/scx_mutex_w_policy.cpp 2.1/scx_mutex_w_policy/golden.log 2.1/scx_mutex_w_policy/scx_mutex_w_policy.sln 2.1/scx_mutex_w_policy/scx_mutex_w_policy.vcxproj 2.1/scx_mutex_w_policy/CMakeLists.txt 2.1/scx_mutex_w_policy/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/scx_mutex_w_policy' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/risc_cpu' /usr/bin/install -c -m 644 risc_cpu/bios.h risc_cpu/dcache.h risc_cpu/decode.h risc_cpu/directive.h risc_cpu/exec.h risc_cpu/fetch.h risc_cpu/floating.h risc_cpu/icache.h risc_cpu/mmxu.h risc_cpu/paging.h risc_cpu/pic.h risc_cpu/bios.cpp risc_cpu/dcache.cpp risc_cpu/decode.cpp risc_cpu/exec.cpp risc_cpu/fetch.cpp risc_cpu/floating.cpp risc_cpu/icache.cpp risc_cpu/main.cpp risc_cpu/mmxu.cpp risc_cpu/paging.cpp risc_cpu/pic.cpp risc_cpu/bios.img risc_cpu/dcache.img risc_cpu/icache.img risc_cpu/printout.img risc_cpu/program.img risc_cpu/register.img risc_cpu/assembler.pl risc_cpu/abc.asm risc_cpu/test.asm risc_cpu/test1.asm risc_cpu/README risc_cpu/README_TYPESCRIPT risc_cpu/risc_cpu.sln risc_cpu/risc_cpu.vcxproj risc_cpu/CMakeLists.txt risc_cpu/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/risc_cpu' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/simple_async' /usr/bin/install -c -m 644 2.3/simple_async/async_event.h 2.3/simple_async/main.cpp 2.3/simple_async/golden.log 2.3/simple_async/simple_async.sln 2.3/simple_async/simple_async.vcxproj 2.3/simple_async/CMakeLists.txt 2.3/simple_async/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/simple_async' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/reset_signal_is' /usr/bin/install -c -m 644 2.1/reset_signal_is/reset_signal_is.cpp 2.1/reset_signal_is/golden.log 2.1/reset_signal_is/reset_signal_is.sln 2.1/reset_signal_is/reset_signal_is.vcxproj 2.1/reset_signal_is/CMakeLists.txt 2.1/reset_signal_is/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/reset_signal_is' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fir' /usr/bin/install -c -m 644 fir/display.h fir/fir.h fir/fir_const.h fir/fir_data.h fir/fir_fsm.h fir/fir_top.h fir/stimulus.h fir/stimulus.cpp fir/display.cpp fir/fir.cpp fir/main.cpp fir/fir_fsm.cpp fir/fir_data.cpp fir/main_rtl.cpp fir/log fir/rtl_log fir/fir.sln fir/fir_common.vcxproj fir/fir.vcxproj fir/fir_rtl.vcxproj fir/CMakeLists.txt fir/Makefile fir/README '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fir' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/scx_barrier' /usr/bin/install -c -m 644 2.1/scx_barrier/scx_barrier.h 2.1/scx_barrier/main.cpp 2.1/scx_barrier/golden.log 2.1/scx_barrier/scx_barrier.sln 2.1/scx_barrier/scx_barrier.vcxproj 2.1/scx_barrier/CMakeLists.txt 2.1/scx_barrier/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/scx_barrier' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/rsa' /usr/bin/install -c -m 644 rsa/rsa.cpp rsa/rsa.sln rsa/rsa.vcxproj rsa/CMakeLists.txt rsa/Makefile rsa/README '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/rsa' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_ttd/../include' /usr/bin/install -c -m 644 2.3/sc_ttd/../include/sc_ttd.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_ttd/../include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/forkjoin' /usr/bin/install -c -m 644 2.1/forkjoin/forkjoin.cpp 2.1/forkjoin/golden.log 2.1/forkjoin/forkjoin.sln 2.1/forkjoin/forkjoin.vcxproj 2.1/forkjoin/CMakeLists.txt 2.1/forkjoin/Makefile 2.1/forkjoin/README.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/forkjoin' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fft/fft_flpt' /usr/bin/install -c -m 644 fft/fft_flpt/fft.h fft/fft_flpt/sink.h fft/fft_flpt/source.h fft/fft_flpt/fft.cpp fft/fft_flpt/main.cpp fft/fft_flpt/sink.cpp fft/fft_flpt/source.cpp fft/fft_flpt/golden.log fft/fft_flpt/in_imag fft/fft_flpt/in_imag.1 fft/fft_flpt/in_imag.2 fft/fft_flpt/in_imag.3 fft/fft_flpt/in_imag.4 fft/fft_flpt/in_real fft/fft_flpt/in_real.1 fft/fft_flpt/in_real.2 fft/fft_flpt/in_real.3 fft/fft_flpt/in_real.4 fft/fft_flpt/out_imag.1.golden fft/fft_flpt/out_imag.2.golden fft/fft_flpt/out_imag.3.golden fft/fft_flpt/out_imag.4.golden fft/fft_flpt/out_real.1.golden fft/fft_flpt/out_real.2.golden fft/fft_flpt/out_real.3.golden fft/fft_flpt/out_real.4.golden fft/fft_flpt/fft_flpt.sln fft/fft_flpt/fft_flpt.vcxproj fft/fft_flpt/CMakeLists.txt fft/fft_flpt/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fft/fft_flpt' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fft' /usr/bin/install -c -m 644 fft/README '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fft' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/pkt_switch' /usr/bin/install -c -m 644 pkt_switch/fifo.h pkt_switch/pkt.h pkt_switch/receiver.h pkt_switch/sender.h pkt_switch/switch.h pkt_switch/switch_clk.h pkt_switch/switch_reg.h pkt_switch/fifo.cpp pkt_switch/main.cpp pkt_switch/receiver.cpp pkt_switch/sender.cpp pkt_switch/switch.cpp pkt_switch/switch_clk.cpp pkt_switch/pkt_switch.sln pkt_switch/pkt_switch.vcxproj pkt_switch/CMakeLists.txt pkt_switch/Makefile pkt_switch/README '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/pkt_switch' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/dpipe' /usr/bin/install -c -m 644 2.1/dpipe/main.cpp 2.1/dpipe/golden.log 2.1/dpipe/dpipe.sln 2.1/dpipe/dpipe.vcxproj 2.1/dpipe/CMakeLists.txt 2.1/dpipe/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/dpipe' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_rvd' /usr/bin/install -c -m 644 2.3/sc_rvd/main.cpp 2.3/sc_rvd/golden.log 2.3/sc_rvd/sc_rvd.sln 2.3/sc_rvd/sc_rvd.vcxproj 2.3/sc_rvd/CMakeLists.txt 2.3/sc_rvd/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_rvd' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/simple_bus' /usr/bin/install -c -m 644 simple_bus/simple_bus.h simple_bus/simple_bus_arbiter.h simple_bus/simple_bus_arbiter_if.h simple_bus/simple_bus_blocking_if.h simple_bus/simple_bus_direct_if.h simple_bus/simple_bus_fast_mem.h simple_bus/simple_bus_master_blocking.h simple_bus/simple_bus_master_direct.h simple_bus/simple_bus_master_non_blocking.h simple_bus/simple_bus_non_blocking_if.h simple_bus/simple_bus_request.h simple_bus/simple_bus_slave_if.h simple_bus/simple_bus_slow_mem.h simple_bus/simple_bus_test.h simple_bus/simple_bus_types.h simple_bus/simple_bus.cpp simple_bus/simple_bus_arbiter.cpp simple_bus/simple_bus_main.cpp simple_bus/simple_bus_master_blocking.cpp simple_bus/simple_bus_master_direct.cpp simple_bus/simple_bus_master_non_blocking.cpp simple_bus/simple_bus_types.cpp simple_bus/simple_bus_tools.cpp simple_bus/golden.log simple_bus/simple_bus.sln simple_bus/simple_bus.vcxproj simple_bus/CMakeLists.txt simple_bus/Makefile simple_bus/ChangeLog simple_bus/README simple_bus/SLIDES.pdf simple_bus/LEGAL '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/simple_bus' /usr/bin/install -c -m 644 README.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/.' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/simple_perf' /usr/bin/install -c -m 644 simple_perf/simple_perf.cpp simple_perf/simple_perf.sln simple_perf/simple_perf.vcxproj simple_perf/CMakeLists.txt simple_perf/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/simple_perf' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fft/fft_fxpt' /usr/bin/install -c -m 644 fft/fft_fxpt/fft.h fft/fft_fxpt/sink.h fft/fft_fxpt/source.h fft/fft_fxpt/fft.cpp fft/fft_fxpt/main.cpp fft/fft_fxpt/sink.cpp fft/fft_fxpt/source.cpp fft/fft_fxpt/golden.log fft/fft_fxpt/in_imag fft/fft_fxpt/in_imag.1 fft/fft_fxpt/in_imag.2 fft/fft_fxpt/in_imag.3 fft/fft_fxpt/in_imag.4 fft/fft_fxpt/in_real fft/fft_fxpt/in_real.1 fft/fft_fxpt/in_real.2 fft/fft_fxpt/in_real.3 fft/fft_fxpt/in_real.4 fft/fft_fxpt/out_imag.1.golden fft/fft_fxpt/out_imag.2.golden fft/fft_fxpt/out_imag.3.golden fft/fft_fxpt/out_imag.4.golden fft/fft_fxpt/out_real.1.golden fft/fft_fxpt/out_real.2.golden fft/fft_fxpt/out_real.3.golden fft/fft_fxpt/out_real.4.golden fft/fft_fxpt/fft_fxpt.sln fft/fft_fxpt/fft_fxpt.vcxproj fft/fft_fxpt/CMakeLists.txt fft/fft_fxpt/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/fft/fft_fxpt' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/pipe' /usr/bin/install -c -m 644 pipe/display.h pipe/numgen.h pipe/stage1.h pipe/stage2.h pipe/stage3.h pipe/display.cpp pipe/main.cpp pipe/numgen.cpp pipe/stage1.cpp pipe/stage2.cpp pipe/stage3.cpp pipe/pipe.sln pipe/pipe.vcxproj pipe/CMakeLists.txt pipe/Makefile pipe/README '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/pipe' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_ttd' /usr/bin/install -c -m 644 2.3/sc_ttd/main.cpp 2.3/sc_ttd/golden.log 2.3/sc_ttd/sc_ttd.sln 2.3/sc_ttd/sc_ttd.vcxproj 2.3/sc_ttd/CMakeLists.txt 2.3/sc_ttd/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_ttd' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/sc_export' /usr/bin/install -c -m 644 2.1/sc_export/main.cpp 2.1/sc_export/golden.log 2.1/sc_export/sc_export.sln 2.1/sc_export/sc_export.vcxproj 2.1/sc_export/CMakeLists.txt 2.1/sc_export/Makefile 2.1/sc_export/README.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/sc_export' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_rvd/../include' /usr/bin/install -c -m 644 2.3/sc_rvd/../include/sc_rvd.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.3/sc_rvd/../include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/specialized_signals' /usr/bin/install -c -m 644 2.1/specialized_signals/scx_signal_int.h 2.1/specialized_signals/scx_signal_uint.h 2.1/specialized_signals/scx_signal_signed.h 2.1/specialized_signals/scx_signal_unsigned.h 2.1/specialized_signals/main.cpp 2.1/specialized_signals/scx_signal_int.cpp 2.1/specialized_signals/scx_signal_uint.cpp 2.1/specialized_signals/scx_signal_signed.cpp 2.1/specialized_signals/scx_signal_unsigned.cpp 2.1/specialized_signals/golden.log 2.1/specialized_signals/specialized_signals.sln 2.1/specialized_signals/specialized_signals.vcxproj 2.1/specialized_signals/CMakeLists.txt 2.1/specialized_signals/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/specialized_signals' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/sc_report' /usr/bin/install -c -m 644 2.1/sc_report/main.cpp 2.1/sc_report/golden.log 2.1/sc_report/sc_report.sln 2.1/sc_report/sc_report.vcxproj 2.1/sc_report/CMakeLists.txt 2.1/sc_report/Makefile 2.1/sc_report/README.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/2.1/sc_report' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/simple_fifo' /usr/bin/install -c -m 644 simple_fifo/simple_fifo.cpp simple_fifo/golden.log simple_fifo/simple_fifo.sln simple_fifo/simple_fifo.vcxproj simple_fifo/CMakeLists.txt simple_fifo/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/sysc/simple_fifo' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/sysc' Making install in tlm make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' Making install in common make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common/include/models' /usr/bin/install -c -m 644 include/models/README.txt include/models/SimpleBusAT.h include/models/SimpleBusLT.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common/include/models' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common/src' /usr/bin/install -c -m 644 src/at_initiator_annotated.cpp src/at_initiator_explicit.cpp src/at_target_1_phase.cpp src/at_target_1_phase_dmi.cpp src/at_target_2_phase.cpp src/at_target_4_phase.cpp src/dmi_memory.cpp src/extension_initiator_id.cpp src/lt_dmi_initiator.cpp src/lt_dmi_target.cpp src/lt_initiator.cpp src/lt_synch_target.cpp src/lt_target.cpp src/lt_td_initiator.cpp src/memory.cpp src/report.cpp src/select_initiator.cpp src/traffic_generator.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common/include' /usr/bin/install -c -m 644 include/at_initiator_annotated.h include/at_initiator_explicit.h include/at_target_1_phase_dmi.h include/at_target_1_phase.h include/at_target_2_phase.h include/at_target_4_phase.h include/dmi_memory.h include/extension_initiator_id.h include/lt_dmi_initiator.h include/lt_dmi_target.h include/lt_initiator.h include/lt_synch_target.h include/lt_target.h include/lt_td_initiator.h include/memory.h include/reporting.h include/select_initiator.h include/traffic_generator.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/common/include' make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm/common' Making install in . make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[5]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/docs' /usr/bin/install -c -m 644 lt_dmi/docs/lt_dmi_example.pdf lt_dmi/docs/lt_dmi_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/results' /usr/bin/install -c -m 644 at_4_phase/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/build-unix' /usr/bin/install -c -m 644 at_ooo/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/include' /usr/bin/install -c -m 644 lt_temporal_decouple/include/initiator_top.h lt_temporal_decouple/include/lt_temporal_decouple_top.h lt_temporal_decouple/include/td_initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/results' /usr/bin/install -c -m 644 lt_dmi/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/results' /usr/bin/install -c -m 644 at_extension_optional/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/docs' /usr/bin/install -c -m 644 at_1_phase/docs/at_1_phase_example.pdf at_1_phase/docs/at_1_phase_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/build-msvc' /usr/bin/install -c -m 644 lt_temporal_decouple/build-msvc/Makefile lt_temporal_decouple/build-msvc/lt_temporal_decouple.sln lt_temporal_decouple/build-msvc/lt_temporal_decouple.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/build-unix' /usr/bin/install -c -m 644 lt_mixed_endian/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory' /usr/bin/install -c -m 644 lt_extension_mandatory/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/build-unix' /usr/bin/install -c -m 644 lt/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/build-unix' /usr/bin/install -c -m 644 lt_dmi/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/src' /usr/bin/install -c -m 644 at_mixed_targets/src/at_mixed_targets.cpp at_mixed_targets/src/at_mixed_targets_top.cpp at_mixed_targets/src/initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/docs' /usr/bin/install -c -m 644 at_2_phase/docs/at_2_phase_example.pdf at_2_phase/docs/at_2_phase_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/build-unix' /usr/bin/install -c -m 644 at_1_phase/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/src' /usr/bin/install -c -m 644 lt_extension_mandatory/src/lt_extension_mandatory.cpp lt_extension_mandatory/src/lt_extension_mandatory_top.cpp lt_extension_mandatory/src/lt_initiator_extension_mandatory.cpp lt_extension_mandatory/src/lt_target_extension_mandatory.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/build-msvc' /usr/bin/install -c -m 644 at_2_phase/build-msvc/Makefile at_2_phase/build-msvc/at_2_phase.sln at_2_phase/build-msvc/at_2_phase.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/docs' /usr/bin/install -c -m 644 lt_extension_mandatory/docs/lt_extension_mandatory_example.pdf lt_extension_mandatory/docs/lt_extension_mandatory_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/docs' /usr/bin/install -c -m 644 lt_temporal_decouple/docs/lt_temporal_decouple_example.pdf lt_temporal_decouple/docs/lt_temporal_decouple_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/include' /usr/bin/install -c -m 644 at_4_phase/include/at_4_phase_top.h at_4_phase/include/initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets' /usr/bin/install -c -m 644 at_mixed_targets/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/build-unix' /usr/bin/install -c -m 644 lt_temporal_decouple/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/results' /usr/bin/install -c -m 644 lt_mixed_endian/results/expected.log lt_mixed_endian/results/input.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/results' /usr/bin/install -c -m 644 lt/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase' /usr/bin/install -c -m 644 at_2_phase/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/results' /usr/bin/install -c -m 644 lt_extension_mandatory/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional' /usr/bin/install -c -m 644 at_extension_optional/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/build-msvc' /usr/bin/install -c -m 644 lt_extension_mandatory/build-msvc/Makefile lt_extension_mandatory/build-msvc/lt_extension_mandatory.sln lt_extension_mandatory/build-msvc/lt_extension_mandatory.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi' /usr/bin/install -c -m 644 lt_dmi/README lt_dmi/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/build-unix' /usr/bin/install -c -m 644 lt_extension_mandatory/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/build-msvc' /usr/bin/install -c -m 644 at_ooo/build-msvc/Makefile at_ooo/build-msvc/at_ooo.sln at_ooo/build-msvc/at_ooo.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/build-msvc' /usr/bin/install -c -m 644 at_mixed_targets/build-msvc/Makefile at_mixed_targets/build-msvc/at_mixed_targets.sln at_mixed_targets/build-msvc/at_mixed_targets.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/src' /usr/bin/install -c -m 644 at_4_phase/src/at_4_phase.cpp at_4_phase/src/at_4_phase_top.cpp at_4_phase/src/initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase' /usr/bin/install -c -m 644 at_4_phase/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/include' /usr/bin/install -c -m 644 at_1_phase/include/at_1_phase_top.h at_1_phase/include/initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/include' /usr/bin/install -c -m 644 at_extension_optional/include/at_extension_optional_top.h at_extension_optional/include/initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/build-msvc' /usr/bin/install -c -m 644 at_4_phase/build-msvc/Makefile at_4_phase/build-msvc/at_4_phase.sln at_4_phase/build-msvc/at_4_phase.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase' /usr/bin/install -c -m 644 at_1_phase/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/src' /usr/bin/install -c -m 644 at_extension_optional/src/at_extension_optional.cpp at_extension_optional/src/at_extension_optional_top.cpp at_extension_optional/src/initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/docs' /usr/bin/install -c -m 644 at_extension_optional/docs/at_extension_optional_example.pdf at_extension_optional/docs/at_extension_optional_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/build-msvc' /usr/bin/install -c -m 644 at_1_phase/build-msvc/Makefile at_1_phase/build-msvc/at_1_phase.sln at_1_phase/build-msvc/at_1_phase.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/results' /usr/bin/install -c -m 644 at_1_phase/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple' /usr/bin/install -c -m 644 lt_temporal_decouple/README lt_temporal_decouple/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/src' /usr/bin/install -c -m 644 at_2_phase/src/at_2_phase.cpp at_2_phase/src/at_2_phase_top.cpp at_2_phase/src/initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/build-msvc' /usr/bin/install -c -m 644 lt_mixed_endian/build-msvc/Makefile lt_mixed_endian/build-msvc/README.txt lt_mixed_endian/build-msvc/lt_mixed_endian.sln lt_mixed_endian/build-msvc/lt_mixed_endian.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/include' /usr/bin/install -c -m 644 lt_mixed_endian/include/initiator_top.h lt_mixed_endian/include/lt_top.h lt_mixed_endian/include/me_traffic_generator.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/build-msvc' /usr/bin/install -c -m 644 lt/build-msvc/Makefile lt/build-msvc/lt.sln lt/build-msvc/lt.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/include' /usr/bin/install -c -m 644 lt/include/initiator_top.h lt/include/lt_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/results' /usr/bin/install -c -m 644 lt_temporal_decouple/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/build-msvc' /usr/bin/install -c -m 644 at_extension_optional/build-msvc/Makefile at_extension_optional/build-msvc/at_extension_optional.sln at_extension_optional/build-msvc/at_extension_optional.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/build-unix' /usr/bin/install -c -m 644 at_extension_optional/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_extension_optional/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/build-unix' /usr/bin/install -c -m 644 at_mixed_targets/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/src' /usr/bin/install -c -m 644 lt_mixed_endian/src/initiator_top.cpp lt_mixed_endian/src/lt.cpp lt_mixed_endian/src/lt_top.cpp lt_mixed_endian/src/me_traffic_generator.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/include' /usr/bin/install -c -m 644 lt_extension_mandatory/include/lt_extension_mandatory_top.h lt_extension_mandatory/include/lt_initiator_extension_mandatory.h lt_extension_mandatory/include/lt_target_extension_mandatory.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_extension_mandatory/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/src' /usr/bin/install -c -m 644 lt/src/initiator_top.cpp lt/src/lt.cpp lt/src/lt_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian' /usr/bin/install -c -m 644 lt_mixed_endian/README lt_mixed_endian/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt' /usr/bin/install -c -m 644 lt/README lt/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/docs' /usr/bin/install -c -m 644 at_ooo/docs/at_ooo_example.pdf at_ooo/docs/at_ooo_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/include' /usr/bin/install -c -m 644 at_2_phase/include/at_2_phase_top.h at_2_phase/include/initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/build-unix' /usr/bin/install -c -m 644 at_2_phase/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/build-unix' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/results' /usr/bin/install -c -m 644 at_mixed_targets/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/docs' /usr/bin/install -c -m 644 lt_mixed_endian/docs/lt_mixed_endian_example.pdf lt_mixed_endian/docs/lt_mixed_endian_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_mixed_endian/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/docs' /usr/bin/install -c -m 644 lt/docs/lt_example.pdf lt/docs/lt_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt/docs' /usr/bin/install -c -m 644 README.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/.' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/include' /usr/bin/install -c -m 644 at_ooo/include/at_ooo_top.h at_ooo/include/at_target_ooo_2_phase.h at_ooo/include/initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/docs' /usr/bin/install -c -m 644 at_4_phase/docs/at_4_phase_example.pdf at_4_phase/docs/at_4_phase_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo' /usr/bin/install -c -m 644 at_ooo/CMakeLists.txt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/docs' /usr/bin/install -c -m 644 at_mixed_targets/docs/at_mixed_targets_example.pdf at_mixed_targets/docs/at_mixed_targets_example.ppt '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/docs' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/src' /usr/bin/install -c -m 644 at_1_phase/src/at_1_phase.cpp at_1_phase/src/at_1_phase_top.cpp at_1_phase/src/initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_1_phase/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/include' /usr/bin/install -c -m 644 lt_dmi/include/initiator_top.h lt_dmi/include/lt_dmi_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/src' /usr/bin/install -c -m 644 lt_dmi/src/initiator_top.cpp lt_dmi/src/lt_dmi.cpp lt_dmi/src/lt_dmi_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/results' /usr/bin/install -c -m 644 at_ooo/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/include' /usr/bin/install -c -m 644 at_mixed_targets/include/at_mixed_targets_top.h at_mixed_targets/include/initiator_top.h '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_mixed_targets/include' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/src' /usr/bin/install -c -m 644 lt_temporal_decouple/src/initiator_top.cpp lt_temporal_decouple/src/lt_temporal_decouple.cpp lt_temporal_decouple/src/lt_temporal_decouple_top.cpp lt_temporal_decouple/src/td_initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_temporal_decouple/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/results' /usr/bin/install -c -m 644 at_2_phase/results/expected.log '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_2_phase/results' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/build-msvc' /usr/bin/install -c -m 644 lt_dmi/build-msvc/Makefile lt_dmi/build-msvc/lt_dmi.sln lt_dmi/build-msvc/lt_dmi.vcxproj '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/lt_dmi/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/src' /usr/bin/install -c -m 644 at_ooo/src/at_ooo.cpp at_ooo/src/at_ooo_top.cpp at_ooo/src/at_target_ooo_2_phase.cpp at_ooo/src/initiator_top.cpp '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_ooo/src' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/build-unix' /usr/bin/install -c -m 644 at_4_phase/build-unix/Makefile '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/tlm/at_4_phase/build-unix' make[5]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples/tlm' make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' make[4]: Entering directory '/build/reproducible-path/systemc-2.3.4/examples' make[4]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/build-msvc' /usr/bin/install -c -m 644 build-msvc/Makefile build-msvc/Makefile.config build-msvc/Makefile.rules build-msvc/READMEcommandlinemsvc.txt build-msvc/SystemC_examples.props build-msvc/SystemC_examples.sln build-msvc/openhere.bat build-msvc/tlm_examples.props build-msvc/tlm_examples.sln build-msvc/vsvars.bat '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/build-msvc' /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/build-unix' /usr/bin/install -c -m 644 build-unix/Makefile build-unix/Makefile.config build-unix/Makefile.rules '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc/examples/build-unix' make[4]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4/examples' make[2]: Entering directory '/build/reproducible-path/systemc-2.3.4' make[3]: Entering directory '/build/reproducible-path/systemc-2.3.4' make[3]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc' /usr/bin/install -c -m 644 AUTHORS.md NOTICE CONTRIBUTING.md INSTALL.md LICENSE README.md RELEASENOTES cmake/INSTALL_USING_CMAKE '/build/reproducible-path/systemc-2.3.4/debian/tmp/usr/share/doc/systemc' make[3]: Leaving directory '/build/reproducible-path/systemc-2.3.4' make[2]: Leaving directory '/build/reproducible-path/systemc-2.3.4' make[1]: Leaving directory '/build/reproducible-path/systemc-2.3.4' dh_install dh_installdocs dh_installchangelogs dh_lintian dh_perl dh_link dh_strip_nondeterminism dh_compress debian/rules override_dh_fixperms make[1]: Entering directory '/build/reproducible-path/systemc-2.3.4' dh_fixperms # Fix Perl interpreter line. for file in `find /build/reproducible-path/systemc-2.3.4/debian/libsystemc-doc/usr/share/doc/libsystemc/examples -type f -name "*.pl"`; do \ sed -i 's,^#!\/usr\/local\/bin\/perl,#!\/usr\/bin\/perl,g' $file ;\ done make[1]: Leaving directory '/build/reproducible-path/systemc-2.3.4' dh_missing dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dpkg-shlibdeps: warning: symbol sc_main used by debian/libsystemc/usr/lib/x86_64-linux-gnu/libsystemc-2.3.4.so found in none of the libraries dh_installdeb dh_gencontrol dh_md5sums dh_builddeb dpkg-deb: building package 'libsystemc-dbgsym' in '../libsystemc-dbgsym_2.3.4-3_amd64.deb'. dpkg-deb: building package 'libsystemc' in '../libsystemc_2.3.4-3_amd64.deb'. dpkg-deb: building package 'libsystemc-doc' in '../libsystemc-doc_2.3.4-3_all.deb'. dpkg-deb: building package 'libsystemc-dev' in '../libsystemc-dev_2.3.4-3_amd64.deb'. dpkg-genbuildinfo --build=binary -O../systemc_2.3.4-3_amd64.buildinfo dpkg-genchanges --build=binary -O../systemc_2.3.4-3_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/2526854 and its subdirectories I: Current time: Wed May 15 07:27:02 -12 2024 I: pbuilder-time-stamp: 1715801222 Wed May 15 19:27:05 UTC 2024 I: 1st build successful. Starting 2nd build on remote node ionos5-amd64.debian.net. Wed May 15 19:27:05 UTC 2024 I: Preparing to do remote build '2' on ionos5-amd64.debian.net. Wed May 15 19:29:35 UTC 2024 I: Deleting $TMPDIR on ionos5-amd64.debian.net. Wed May 15 19:29:36 UTC 2024 I: systemc_2.3.4-3_amd64.changes: Format: 1.8 Date: Thu, 22 Jun 2023 22:13:58 +0200 Source: systemc Binary: libsystemc libsystemc-dbgsym libsystemc-dev libsystemc-doc Architecture: amd64 all Version: 2.3.4-3 Distribution: unstable Urgency: medium Maintainer: Debian Electronics Packaging Team Changed-By: أحمد المحمودي (Ahmed El-Mahmoudy) Description: libsystemc - SystemC library libsystemc-dev - Development files for SystemC library libsystemc-doc - Documentation for SystemC library Closes: 1037870 Changes: systemc (2.3.4-3) unstable; urgency=medium . * [e14bd29] Remove symbols file (Closes: #1037870) * [f1b622c] d/libsystemc.lintian-overrides: add override for missing symbols file Checksums-Sha1: 474e7a63333dbb04b62ad4a03f26dc04daf24416 4799368 libsystemc-dbgsym_2.3.4-3_amd64.deb 8cddc71bc3d5100f0786e0ab8af2b995d85e8e26 240844 libsystemc-dev_2.3.4-3_amd64.deb 9e59cd4673bc80399eacc1d587843238e319438e 758876 libsystemc-doc_2.3.4-3_all.deb 082e95f9f6fec28c5b864180cf3e2c6593ccf8fc 440404 libsystemc_2.3.4-3_amd64.deb 4adde23c4aa29f7e0b8241321354d4b54619ef29 5991 systemc_2.3.4-3_amd64.buildinfo Checksums-Sha256: 1364e0be9de81f72f5f2a1228ea1690b0b1bd1d72252c1482d8eb81934de53c2 4799368 libsystemc-dbgsym_2.3.4-3_amd64.deb c8c98b82804d775bddf86711d13d748b05ad83054eecc3ccb92312a4eabcce11 240844 libsystemc-dev_2.3.4-3_amd64.deb 18bf2f80df8d96e4f5c8d05b67e9d51d88756ac61110933941d846f1050d5a91 758876 libsystemc-doc_2.3.4-3_all.deb fe3477d4927add4689b5ad87017ab298feaacaedc4af9adc2a6b3d0bb02f71b9 440404 libsystemc_2.3.4-3_amd64.deb 0b25a0dad9ab807f90f21359db5708222ae9c3e118cbdf6bc3d893f1499125fc 5991 systemc_2.3.4-3_amd64.buildinfo Files: ed8bd117e5e7c3690673560c556ad45b 4799368 debug optional libsystemc-dbgsym_2.3.4-3_amd64.deb dbe9a6e6fea50cb190d388c8c3e6199b 240844 libdevel optional libsystemc-dev_2.3.4-3_amd64.deb d561d4e36b3ef565c97ca262beb1722d 758876 doc optional libsystemc-doc_2.3.4-3_all.deb 6fe5e66e02eac0a1147a82ab6072dff0 440404 libs optional libsystemc_2.3.4-3_amd64.deb c0cc5a12ebeb2c08fc1efd79b81acb25 5991 electronics optional systemc_2.3.4-3_amd64.buildinfo Wed May 15 19:29:38 UTC 2024 I: diffoscope 266 will be used to compare the two builds: Running as unit: rb-diffoscope-amd64_25-6095.service # Profiling output for: /usr/bin/diffoscope --timeout 7200 --html /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/systemc_2.3.4-3.diffoscope.html --text /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/systemc_2.3.4-3.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/systemc_2.3.4-3.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/b1/systemc_2.3.4-3_amd64.changes /srv/reproducible-results/rbuild-debian/r-b-build.Ldzbp31x/b2/systemc_2.3.4-3_amd64.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 0.453s) 0.453s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 0.085s) 0.085s 12 calls diffoscope.comparators.binary.FilesystemFile ## specialize (total time: 0.000s) 0.000s 1 call specialize Finished with result: success Main processes terminated with: code=exited/status=0 Service runtime: 834ms CPU time consumed: 834ms Wed May 15 19:29:39 UTC 2024 I: diffoscope 266 found no differences in the changes files, and a .buildinfo file also exists. Wed May 15 19:29:39 UTC 2024 I: systemc from trixie built successfully and reproducibly on amd64. Wed May 15 19:29:40 UTC 2024 I: Submitting .buildinfo files to external archives: Wed May 15 19:29:40 UTC 2024 I: Submitting 8.0K b1/systemc_2.3.4-3_amd64.buildinfo.asc Wed May 15 19:29:41 UTC 2024 I: Submitting 8.0K b2/systemc_2.3.4-3_amd64.buildinfo.asc Wed May 15 19:29:42 UTC 2024 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Wed May 15 19:29:42 UTC 2024 I: Done submitting .buildinfo files. Wed May 15 19:29:42 UTC 2024 I: Removing signed systemc_2.3.4-3_amd64.buildinfo.asc files: removed './b1/systemc_2.3.4-3_amd64.buildinfo.asc' removed './b2/systemc_2.3.4-3_amd64.buildinfo.asc'