Wed May 8 03:06:07 UTC 2024 I: starting to build haskell-clash-prelude/trixie/amd64 on jenkins on '2024-05-08 03:05' Wed May 8 03:06:07 UTC 2024 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/amd64_27/19763/console.log Wed May 8 03:06:07 UTC 2024 I: Downloading source for trixie/haskell-clash-prelude=1.8.1-1 --2024-05-08 03:06:07-- http://deb.debian.org/debian/pool/main/h/haskell-clash-prelude/haskell-clash-prelude_1.8.1-1.dsc Connecting to 46.16.76.132:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 5831 (5.7K) [text/prs.lines.tag] Saving to: ‘haskell-clash-prelude_1.8.1-1.dsc’ 0K ..... 100% 543M=0s 2024-05-08 03:06:07 (543 MB/s) - ‘haskell-clash-prelude_1.8.1-1.dsc’ saved [5831/5831] Wed May 8 03:06:07 UTC 2024 I: haskell-clash-prelude_1.8.1-1.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: haskell-clash-prelude Binary: libghc-clash-prelude-dev, libghc-clash-prelude-prof, libghc-clash-prelude-doc Architecture: any all Version: 1.8.1-1 Maintainer: Debian Haskell Group Uploaders: Scott Talbert Homepage: https://clash-lang.org/ Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/haskell-team/DHG_packages/tree/master/p/haskell-clash-prelude Vcs-Git: https://salsa.debian.org/haskell-team/DHG_packages.git [p/haskell-clash-prelude] Build-Depends: debhelper (>= 10), haskell-devscripts-minimal | haskell-devscripts (>= 0.13), cdbs, ghc, ghc-prof, libghc-quickcheck2-dev (>= 2.7), libghc-quickcheck2-dev (<< 2.15), libghc-quickcheck2-prof, libghc-arrows-dev (>= 0.4), libghc-arrows-dev (<< 0.5), libghc-arrows-prof, libghc-constraints-dev (>= 0.9), libghc-constraints-dev (<< 1.0), libghc-constraints-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-class-dev (>= 0.1.2), libghc-data-default-class-dev (<< 0.2), libghc-data-default-class-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-ghc-typelits-extra-dev (>= 0.4), libghc-ghc-typelits-extra-dev (<< 0.5), libghc-ghc-typelits-extra-prof, libghc-ghc-typelits-knownnat-dev (>= 0.7.2), libghc-ghc-typelits-knownnat-dev (<< 0.8), libghc-ghc-typelits-knownnat-prof, libghc-ghc-typelits-natnormalise-dev (>= 0.7.2), libghc-ghc-typelits-natnormalise-dev (<< 0.8), libghc-ghc-typelits-natnormalise-prof, libghc-half-dev (>= 0.2.2.3), libghc-half-dev (<< 1.0), libghc-half-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-infinite-list-dev (>= 0.1), libghc-infinite-list-dev (<< 0.2), libghc-infinite-list-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.3), libghc-lens-prof, libghc-recursion-schemes-dev (>= 5.1), libghc-recursion-schemes-dev (<< 5.3), libghc-recursion-schemes-prof, libghc-reflection-dev (>= 2), libghc-reflection-dev (<< 2.2), libghc-reflection-prof, libghc-singletons-dev (>= 2.0), libghc-singletons-dev (<< 3.1), libghc-singletons-prof, libghc-string-interpolate-dev (>= 0.3), libghc-string-interpolate-dev (<< 0.4), libghc-string-interpolate-prof, libghc-th-abstraction-dev (>= 0.2.10), libghc-th-abstraction-dev (<< 0.7.0), libghc-th-abstraction-prof, libghc-th-lift-dev (>= 0.7.0), libghc-th-lift-dev (<< 0.9), libghc-th-lift-prof, libghc-th-orphans-dev (>= 0.13.1), libghc-th-orphans-dev (<< 1.0), libghc-th-orphans-prof, libghc-type-errors-dev (>= 0.2.0.0), libghc-type-errors-dev (<< 0.3), libghc-type-errors-prof, libghc-uniplate-dev (>= 1.6.12), libghc-uniplate-dev (<< 1.7), libghc-uniplate-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-doctest-parallel-dev (>= 0.2), libghc-doctest-parallel-dev (<< 0.4), libghc-doctest-parallel-prof, libghc-hedgehog-dev (>= 1.0.3), libghc-hedgehog-dev (<< 1.5), libghc-hedgehog-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-quickcheck-classes-base-dev (>= 0.6), libghc-quickcheck-classes-base-dev (<< 1.0), libghc-quickcheck-classes-base-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.6), libghc-tasty-prof, libghc-tasty-hedgehog-dev (>= 1.2.0), libghc-tasty-hedgehog-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-tasty-th-dev, libghc-tasty-th-prof Build-Depends-Indep: ghc-doc, libghc-quickcheck2-doc, libghc-arrows-doc, libghc-constraints-doc, libghc-data-binary-ieee754-doc, libghc-data-default-class-doc, libghc-extra-doc, libghc-ghc-typelits-extra-doc, libghc-ghc-typelits-knownnat-doc, libghc-ghc-typelits-natnormalise-doc, libghc-half-doc, libghc-hashable-doc, libghc-infinite-list-doc, libghc-lens-doc, libghc-recursion-schemes-doc, libghc-reflection-doc, libghc-singletons-doc, libghc-string-interpolate-doc, libghc-th-abstraction-doc, libghc-th-lift-doc, libghc-th-orphans-doc, libghc-type-errors-doc, libghc-uniplate-doc, libghc-vector-doc Package-List: libghc-clash-prelude-dev deb haskell optional arch=any libghc-clash-prelude-doc deb doc optional arch=all libghc-clash-prelude-prof deb haskell optional arch=any Checksums-Sha1: 66465c93d6f1cd050170ed6fdca7fa6a52806511 401219 haskell-clash-prelude_1.8.1.orig.tar.gz 7f8ff9abbbd710bcac2cba8e58e75f6ed3c275b4 9084 haskell-clash-prelude_1.8.1-1.debian.tar.xz Checksums-Sha256: 7e2cdb7c95e70db6a7247af1bce9c70d2755efcdd99b4e40ae5796c2351dc48f 401219 haskell-clash-prelude_1.8.1.orig.tar.gz c328c51da0ec9e541858dff360f91791aac30457329710adb4c671a2686ebb45 9084 haskell-clash-prelude_1.8.1-1.debian.tar.xz Files: 8e8831b92e73f4e0921bed8223a9da0a 401219 haskell-clash-prelude_1.8.1.orig.tar.gz 380c9fe3c46713187c4bf9307a7e2676 9084 haskell-clash-prelude_1.8.1-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQJDBAEBCgAtFiEEbnQ09Yl9Q7F/zVe3U9W8ZLUjeKIFAmVVdQEPHHN3dEB0ZWNo aWUubmV0AAoJEFPVvGS1I3iiHPAP/Avc7pCOQ8Xp7f7bSkSK5MxjZRd73wMsFmUm XBX6GeU+Al9kFpGEGwb/m5fSEaefg8qWxng8vviYb9jt97OgMgJpll79ankJJc70 xM99U2UH5E3Ua8IAq3r79ZsPKwlBwYlZzkgcb+8i5yIb678a84W6d2yysSSVFXz+ pi7/YEJMehRv06Vh1rJO2LHBUqDiqS5CBOgPS6DTC051eS0Da4dGKDs2cwOpRxZ+ G7kMbmS3qc1wPbx8IdiUJ+v2PFKdnqb+ak2Ts/IzPWAXERm2LgnYkgdTTcLNiQQI eHdgwkC+Klmnqm3GCaPiibh5wi9fn5v3NHCCRGeUgg5CzkRjWiY1CJF8exm1S75I 2MFHSseKTPEH1mqqdfP+jcLmTkVcI3RtqYDn+9HgJLLVv8iZHe2Vx5lYRO64buuv 8I2iEOOSISNhObix9UInKgIsCBgzHI0MZauGrFZGSEP9VQy5rT8waewwOCAB4Ot+ Y1Kyvc5nVUEjahlqO0ZmeWrIEro2oVGLD9V3s5ICH3AOcYQ6w3ixRFTmEhe3Dx11 reDjFl0DsyoH4tSPm/a6p7U06llH1GpoPrHr3ModpiarBS7X6HubxelcrNBKXls+ ITxdYqsp3DIV2J24l2j6LpkKsw72IANIrxNnwRCyLjR/a/aHxbUrccWogbwx4GO+ W77kdZKP =vCyx -----END PGP SIGNATURE----- Wed May 8 03:06:07 UTC 2024 I: Checking whether the package is not for us Wed May 8 03:06:07 UTC 2024 I: Starting 1st build on remote node ionos11-amd64.debian.net. Wed May 8 03:06:07 UTC 2024 I: Preparing to do remote build '1' on ionos11-amd64.debian.net. Wed May 8 03:17:32 UTC 2024 I: Deleting $TMPDIR on ionos11-amd64.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Tue May 7 15:06:09 -12 2024 I: pbuilder-time-stamp: 1715137569 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [haskell-clash-prelude_1.8.1-1.dsc] I: copying [./haskell-clash-prelude_1.8.1.orig.tar.gz] I: copying [./haskell-clash-prelude_1.8.1-1.debian.tar.xz] I: Extracting source gpgv: Signature made Thu Nov 16 01:48:49 2023 gpgv: using RSA key 6E7434F5897D43B17FCD57B753D5BC64B52378A2 gpgv: issuer "swt@techie.net" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./haskell-clash-prelude_1.8.1-1.dsc: no acceptable signature found dpkg-source: info: extracting haskell-clash-prelude in haskell-clash-prelude-1.8.1 dpkg-source: info: unpacking haskell-clash-prelude_1.8.1.orig.tar.gz dpkg-source: info: unpacking haskell-clash-prelude_1.8.1-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying disable-doctests dpkg-source: info: applying no-rts-n I: using fakeroot in build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/1268769/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build/reproducible-path' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='amd64' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=20 ' DISTRIBUTION='trixie' HOME='/root' HOST_ARCH='amd64' IFS=' ' INVOCATION_ID='c4babadc5a32402f90ec28bfae7936b4' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='1268769' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.JfSkzn7l/pbuilderrc_ahwE --distribution trixie --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.JfSkzn7l/b1 --logfile b1/build.log haskell-clash-prelude_1.8.1-1.dsc' SUDO_GID='111' SUDO_UID='106' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://46.16.76.132:3128' I: uname -a Linux ionos11-amd64 6.1.0-21-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.90-1 (2024-05-03) x86_64 GNU/Linux I: ls -l /bin lrwxrwxrwx 1 root root 7 May 7 11:25 /bin -> usr/bin I: user script /srv/workspace/pbuilder/1268769/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper (>= 10), haskell-devscripts-minimal | haskell-devscripts (>= 0.13), cdbs, ghc, ghc-prof, libghc-quickcheck2-dev (>= 2.7), libghc-quickcheck2-dev (<< 2.15), libghc-quickcheck2-prof, libghc-arrows-dev (>= 0.4), libghc-arrows-dev (<< 0.5), libghc-arrows-prof, libghc-constraints-dev (>= 0.9), libghc-constraints-dev (<< 1.0), libghc-constraints-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-class-dev (>= 0.1.2), libghc-data-default-class-dev (<< 0.2), libghc-data-default-class-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-ghc-typelits-extra-dev (>= 0.4), libghc-ghc-typelits-extra-dev (<< 0.5), libghc-ghc-typelits-extra-prof, libghc-ghc-typelits-knownnat-dev (>= 0.7.2), libghc-ghc-typelits-knownnat-dev (<< 0.8), libghc-ghc-typelits-knownnat-prof, libghc-ghc-typelits-natnormalise-dev (>= 0.7.2), libghc-ghc-typelits-natnormalise-dev (<< 0.8), libghc-ghc-typelits-natnormalise-prof, libghc-half-dev (>= 0.2.2.3), libghc-half-dev (<< 1.0), libghc-half-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-infinite-list-dev (>= 0.1), libghc-infinite-list-dev (<< 0.2), libghc-infinite-list-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.3), libghc-lens-prof, libghc-recursion-schemes-dev (>= 5.1), libghc-recursion-schemes-dev (<< 5.3), libghc-recursion-schemes-prof, libghc-reflection-dev (>= 2), libghc-reflection-dev (<< 2.2), libghc-reflection-prof, libghc-singletons-dev (>= 2.0), libghc-singletons-dev (<< 3.1), libghc-singletons-prof, libghc-string-interpolate-dev (>= 0.3), libghc-string-interpolate-dev (<< 0.4), libghc-string-interpolate-prof, libghc-th-abstraction-dev (>= 0.2.10), libghc-th-abstraction-dev (<< 0.7.0), libghc-th-abstraction-prof, libghc-th-lift-dev (>= 0.7.0), libghc-th-lift-dev (<< 0.9), libghc-th-lift-prof, libghc-th-orphans-dev (>= 0.13.1), libghc-th-orphans-dev (<< 1.0), libghc-th-orphans-prof, libghc-type-errors-dev (>= 0.2.0.0), libghc-type-errors-dev (<< 0.3), libghc-type-errors-prof, libghc-uniplate-dev (>= 1.6.12), libghc-uniplate-dev (<< 1.7), libghc-uniplate-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-doctest-parallel-dev (>= 0.2), libghc-doctest-parallel-dev (<< 0.4), libghc-doctest-parallel-prof, libghc-hedgehog-dev (>= 1.0.3), libghc-hedgehog-dev (<< 1.5), libghc-hedgehog-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-quickcheck-classes-base-dev (>= 0.6), libghc-quickcheck-classes-base-dev (<< 1.0), libghc-quickcheck-classes-base-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.6), libghc-tasty-prof, libghc-tasty-hedgehog-dev (>= 1.2.0), libghc-tasty-hedgehog-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-tasty-th-dev, libghc-tasty-th-prof, ghc-doc, libghc-quickcheck2-doc, libghc-arrows-doc, libghc-constraints-doc, libghc-data-binary-ieee754-doc, libghc-data-default-class-doc, libghc-extra-doc, libghc-ghc-typelits-extra-doc, libghc-ghc-typelits-knownnat-doc, libghc-ghc-typelits-natnormalise-doc, libghc-half-doc, libghc-hashable-doc, libghc-infinite-list-doc, libghc-lens-doc, libghc-recursion-schemes-doc, libghc-reflection-doc, libghc-singletons-doc, libghc-string-interpolate-doc, libghc-th-abstraction-doc, libghc-th-lift-doc, libghc-th-orphans-doc, libghc-type-errors-doc, libghc-uniplate-doc, libghc-vector-doc dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19698 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper (>= 10); however: Package debhelper is not installed. pbuilder-satisfydepends-dummy depends on haskell-devscripts-minimal | haskell-devscripts (>= 0.13); however: Package haskell-devscripts-minimal is not installed. Package haskell-devscripts is not installed. pbuilder-satisfydepends-dummy depends on cdbs; however: Package cdbs is not installed. pbuilder-satisfydepends-dummy depends on ghc; however: Package ghc is not installed. pbuilder-satisfydepends-dummy depends on ghc-prof; however: Package ghc-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck2-dev (>= 2.7); however: Package libghc-quickcheck2-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck2-dev (<< 2.15); however: Package libghc-quickcheck2-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck2-prof; however: Package libghc-quickcheck2-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-arrows-dev (>= 0.4); however: Package libghc-arrows-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-arrows-dev (<< 0.5); however: Package libghc-arrows-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-arrows-prof; however: Package libghc-arrows-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-constraints-dev (>= 0.9); however: Package libghc-constraints-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-constraints-dev (<< 1.0); however: Package libghc-constraints-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-constraints-prof; however: Package libghc-constraints-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-dev (>= 0.4.4); however: Package libghc-data-binary-ieee754-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-dev (<< 0.6); however: Package libghc-data-binary-ieee754-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-prof; however: Package libghc-data-binary-ieee754-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-class-dev (>= 0.1.2); however: Package libghc-data-default-class-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-class-dev (<< 0.2); however: Package libghc-data-default-class-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-class-prof; however: Package libghc-data-default-class-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-dev (>= 1.6.17); however: Package libghc-extra-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-dev (<< 1.8); however: Package libghc-extra-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-prof; however: Package libghc-extra-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-extra-dev (>= 0.4); however: Package libghc-ghc-typelits-extra-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-extra-dev (<< 0.5); however: Package libghc-ghc-typelits-extra-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-extra-prof; however: Package libghc-ghc-typelits-extra-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-knownnat-dev (>= 0.7.2); however: Package libghc-ghc-typelits-knownnat-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-knownnat-dev (<< 0.8); however: Package libghc-ghc-typelits-knownnat-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-knownnat-prof; however: Package libghc-ghc-typelits-knownnat-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-natnormalise-dev (>= 0.7.2); however: Package libghc-ghc-typelits-natnormalise-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-natnormalise-dev (<< 0.8); however: Package libghc-ghc-typelits-natnormalise-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-natnormalise-prof; however: Package libghc-ghc-typelits-natnormalise-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-half-dev (>= 0.2.2.3); however: Package libghc-half-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-half-dev (<< 1.0); however: Package libghc-half-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-half-prof; however: Package libghc-half-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-dev (>= 1.2.1.0); however: Package libghc-hashable-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-dev (<< 1.5); however: Package libghc-hashable-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-prof; however: Package libghc-hashable-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-infinite-list-dev (>= 0.1); however: Package libghc-infinite-list-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-infinite-list-dev (<< 0.2); however: Package libghc-infinite-list-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-infinite-list-prof; however: Package libghc-infinite-list-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-dev (>= 4.10); however: Package libghc-lens-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-dev (<< 5.3); however: Package libghc-lens-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-prof; however: Package libghc-lens-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-recursion-schemes-dev (>= 5.1); however: Package libghc-recursion-schemes-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-recursion-schemes-dev (<< 5.3); however: Package libghc-recursion-schemes-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-recursion-schemes-prof; however: Package libghc-recursion-schemes-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-reflection-dev (>= 2); however: Package libghc-reflection-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-reflection-dev (<< 2.2); however: Package libghc-reflection-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-reflection-prof; however: Package libghc-reflection-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-singletons-dev (>= 2.0); however: Package libghc-singletons-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-singletons-dev (<< 3.1); however: Package libghc-singletons-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-singletons-prof; however: Package libghc-singletons-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-string-interpolate-dev (>= 0.3); however: Package libghc-string-interpolate-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-string-interpolate-dev (<< 0.4); however: Package libghc-string-interpolate-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-string-interpolate-prof; however: Package libghc-string-interpolate-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-abstraction-dev (>= 0.2.10); however: Package libghc-th-abstraction-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-abstraction-dev (<< 0.7.0); however: Package libghc-th-abstraction-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-abstraction-prof; however: Package libghc-th-abstraction-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-lift-dev (>= 0.7.0); however: Package libghc-th-lift-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-lift-dev (<< 0.9); however: Package libghc-th-lift-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-lift-prof; however: Package libghc-th-lift-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-orphans-dev (>= 0.13.1); however: Package libghc-th-orphans-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-orphans-dev (<< 1.0); however: Package libghc-th-orphans-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-orphans-prof; however: Package libghc-th-orphans-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-type-errors-dev (>= 0.2.0.0); however: Package libghc-type-errors-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-type-errors-dev (<< 0.3); however: Package libghc-type-errors-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-type-errors-prof; however: Package libghc-type-errors-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-uniplate-dev (>= 1.6.12); however: Package libghc-uniplate-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-uniplate-dev (<< 1.7); however: Package libghc-uniplate-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-uniplate-prof; however: Package libghc-uniplate-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-dev (>= 0.11); however: Package libghc-vector-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-dev (<< 1.0); however: Package libghc-vector-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-prof; however: Package libghc-vector-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-doctest-parallel-dev (>= 0.2); however: Package libghc-doctest-parallel-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-doctest-parallel-dev (<< 0.4); however: Package libghc-doctest-parallel-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-doctest-parallel-prof; however: Package libghc-doctest-parallel-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-hedgehog-dev (>= 1.0.3); however: Package libghc-hedgehog-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hedgehog-dev (<< 1.5); however: Package libghc-hedgehog-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hedgehog-prof; however: Package libghc-hedgehog-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-dev (>= 0.7); however: Package libghc-hint-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-dev (<< 0.10); however: Package libghc-hint-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-prof; however: Package libghc-hint-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck-classes-base-dev (>= 0.6); however: Package libghc-quickcheck-classes-base-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck-classes-base-dev (<< 1.0); however: Package libghc-quickcheck-classes-base-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck-classes-base-prof; however: Package libghc-quickcheck-classes-base-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-dev (>= 1.2); however: Package libghc-tasty-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-dev (<< 1.6); however: Package libghc-tasty-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-prof; however: Package libghc-tasty-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hedgehog-dev (>= 1.2.0); however: Package libghc-tasty-hedgehog-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hedgehog-prof; however: Package libghc-tasty-hedgehog-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hunit-dev; however: Package libghc-tasty-hunit-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hunit-prof; however: Package libghc-tasty-hunit-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-quickcheck-dev; however: Package libghc-tasty-quickcheck-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-quickcheck-prof; however: Package libghc-tasty-quickcheck-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-th-dev; however: Package libghc-tasty-th-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-th-prof; however: Package libghc-tasty-th-prof is not installed. pbuilder-satisfydepends-dummy depends on ghc-doc; however: Package ghc-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck2-doc; however: Package libghc-quickcheck2-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-arrows-doc; however: Package libghc-arrows-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-constraints-doc; however: Package libghc-constraints-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-doc; however: Package libghc-data-binary-ieee754-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-class-doc; however: Package libghc-data-default-class-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-doc; however: Package libghc-extra-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-extra-doc; however: Package libghc-ghc-typelits-extra-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-knownnat-doc; however: Package libghc-ghc-typelits-knownnat-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-ghc-typelits-natnormalise-doc; however: Package libghc-ghc-typelits-natnormalise-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-half-doc; however: Package libghc-half-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-doc; however: Package libghc-hashable-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-infinite-list-doc; however: Package libghc-infinite-list-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-doc; however: Package libghc-lens-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-recursion-schemes-doc; however: Package libghc-recursion-schemes-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-reflection-doc; however: Package libghc-reflection-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-singletons-doc; however: Package libghc-singletons-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-string-interpolate-doc; however: Package libghc-string-interpolate-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-abstraction-doc; however: Package libghc-th-abstraction-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-lift-doc; however: Package libghc-th-lift-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-th-orphans-doc; however: Package libghc-th-orphans-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-type-errors-doc; however: Package libghc-type-errors-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-uniplate-doc; however: Package libghc-uniplate-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-doc; however: Package libghc-vector-doc is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} cdbs{a} dctrl-tools{a} debhelper{a} dh-autoreconf{a} dh-buildinfo{a} dh-strip-nondeterminism{a} dwz{a} file{a} fonts-mathjax{a} gettext{a} gettext-base{a} ghc{a} ghc-doc{a} ghc-prof{a} groff-base{a} haskell-devscripts-minimal{a} html-xml-utils{a} intltool-debian{a} libarchive-zip-perl{a} libb-hooks-op-check-perl{a} libbrotli1{a} libbsd-dev{a} libbsd0{a} libcom-err2{a} libconst-fast-perl{a} libcurl3t64-gnutls{a} libdata-optlist-perl{a} libdebhelper-perl{a} libdevel-callchecker-perl{a} libdevel-confess-perl{a} libdynaloader-functions-perl{a} libelf1t64{a} libexpat1{a} libffi-dev{a} libfile-stripnondeterminism-perl{a} libghc-adjunctions-dev{a} libghc-adjunctions-prof{a} libghc-ansi-terminal-dev{a} libghc-ansi-terminal-prof{a} libghc-ansi-terminal-types-dev{a} libghc-ansi-terminal-types-prof{a} libghc-ansi-wl-pprint-dev{a} libghc-ansi-wl-pprint-prof{a} libghc-arrows-dev{a} libghc-arrows-doc{a} libghc-arrows-prof{a} libghc-assoc-dev{a} libghc-assoc-prof{a} libghc-async-dev{a} libghc-async-prof{a} libghc-barbies-dev{a} libghc-barbies-prof{a} libghc-base-compat-dev{a} libghc-base-compat-prof{a} libghc-base-orphans-dev{a} libghc-base-orphans-prof{a} libghc-base16-bytestring-dev{a} libghc-base16-bytestring-prof{a} libghc-base64-bytestring-dev{a} libghc-base64-bytestring-prof{a} libghc-bifunctors-dev{a} libghc-bifunctors-prof{a} libghc-call-stack-dev{a} libghc-call-stack-prof{a} libghc-clock-dev{a} libghc-clock-prof{a} libghc-code-page-dev{a} libghc-code-page-prof{a} libghc-colour-dev{a} libghc-colour-prof{a} libghc-comonad-dev{a} libghc-comonad-prof{a} libghc-concurrent-output-dev{a} libghc-concurrent-output-prof{a} libghc-constraints-dev{a} libghc-constraints-doc{a} libghc-constraints-prof{a} libghc-contravariant-dev{a} libghc-contravariant-prof{a} libghc-data-binary-ieee754-dev{a} libghc-data-binary-ieee754-doc{a} libghc-data-binary-ieee754-prof{a} libghc-data-default-class-dev{a} libghc-data-default-class-doc{a} libghc-data-default-class-prof{a} libghc-data-fix-dev{a} libghc-data-fix-prof{a} libghc-distributive-dev{a} libghc-distributive-prof{a} libghc-dlist-dev{a} libghc-dlist-prof{a} libghc-doctest-parallel-dev{a} libghc-doctest-parallel-prof{a} libghc-erf-dev{a} libghc-erf-prof{a} libghc-extra-dev{a} libghc-extra-doc{a} libghc-extra-prof{a} libghc-first-class-families-dev{a} libghc-first-class-families-prof{a} libghc-foldable1-classes-compat-dev{a} libghc-foldable1-classes-compat-prof{a} libghc-free-dev{a} libghc-free-prof{a} libghc-ghc-paths-dev{a} libghc-ghc-paths-prof{a} libghc-ghc-tcplugins-extra-dev{a} libghc-ghc-tcplugins-extra-prof{a} libghc-ghc-typelits-extra-dev{a} libghc-ghc-typelits-extra-doc{a} libghc-ghc-typelits-extra-prof{a} libghc-ghc-typelits-knownnat-dev{a} libghc-ghc-typelits-knownnat-doc{a} libghc-ghc-typelits-knownnat-prof{a} libghc-ghc-typelits-natnormalise-dev{a} libghc-ghc-typelits-natnormalise-doc{a} libghc-ghc-typelits-natnormalise-prof{a} libghc-glob-dev{a} libghc-glob-prof{a} libghc-half-dev{a} libghc-half-doc{a} libghc-half-prof{a} libghc-hashable-dev{a} libghc-hashable-doc{a} libghc-hashable-prof{a} libghc-haskell-lexer-dev{a} libghc-haskell-lexer-prof{a} libghc-hedgehog-dev{a} libghc-hedgehog-prof{a} libghc-hint-dev{a} libghc-hint-prof{a} libghc-indexed-traversable-dev{a} libghc-indexed-traversable-instances-dev{a} libghc-indexed-traversable-instances-prof{a} libghc-indexed-traversable-prof{a} libghc-infinite-list-dev{a} libghc-infinite-list-doc{a} libghc-infinite-list-prof{a} libghc-invariant-dev{a} libghc-invariant-prof{a} libghc-kan-extensions-dev{a} libghc-kan-extensions-prof{a} libghc-lazysmallcheck-dev{a} libghc-lazysmallcheck-prof{a} libghc-lens-dev{a} libghc-lens-doc{a} libghc-lens-prof{a} libghc-lifted-async-dev{a} libghc-lifted-async-prof{a} libghc-lifted-base-dev{a} libghc-lifted-base-prof{a} libghc-mmorph-dev{a} libghc-mmorph-prof{a} libghc-monad-control-dev{a} libghc-monad-control-prof{a} libghc-onetuple-dev{a} libghc-onetuple-prof{a} libghc-optparse-applicative-dev{a} libghc-optparse-applicative-prof{a} libghc-parallel-dev{a} libghc-parallel-prof{a} libghc-pretty-show-dev{a} libghc-pretty-show-prof{a} libghc-primitive-dev{a} libghc-primitive-prof{a} libghc-profunctors-dev{a} libghc-profunctors-prof{a} libghc-quickcheck-classes-base-dev{a} libghc-quickcheck-classes-base-prof{a} libghc-quickcheck2-dev{a} libghc-quickcheck2-doc{a} libghc-quickcheck2-prof{a} libghc-random-dev{a} libghc-random-prof{a} libghc-recursion-schemes-dev{a} libghc-recursion-schemes-doc{a} libghc-recursion-schemes-prof{a} libghc-reflection-dev{a} libghc-reflection-doc{a} libghc-reflection-prof{a} libghc-resourcet-dev{a} libghc-resourcet-prof{a} libghc-safe-dev{a} libghc-safe-prof{a} libghc-semigroupoids-dev{a} libghc-semigroupoids-prof{a} libghc-semigroups-dev{a} libghc-semigroups-prof{a} libghc-singletons-dev{a} libghc-singletons-doc{a} libghc-singletons-prof{a} libghc-split-dev{a} libghc-split-prof{a} libghc-splitmix-dev{a} libghc-splitmix-prof{a} libghc-src-exts-dev{a} libghc-src-exts-prof{a} libghc-src-meta-dev{a} libghc-src-meta-prof{a} libghc-statevar-dev{a} libghc-statevar-prof{a} libghc-stream-dev{a} libghc-stream-prof{a} libghc-strict-dev{a} libghc-strict-prof{a} libghc-string-interpolate-dev{a} libghc-string-interpolate-doc{a} libghc-string-interpolate-prof{a} libghc-syb-dev{a} libghc-syb-prof{a} libghc-tagged-dev{a} libghc-tagged-prof{a} libghc-tasty-dev{a} libghc-tasty-hedgehog-dev{a} libghc-tasty-hedgehog-prof{a} libghc-tasty-hunit-dev{a} libghc-tasty-hunit-prof{a} libghc-tasty-prof{a} libghc-tasty-quickcheck-dev{a} libghc-tasty-quickcheck-prof{a} libghc-tasty-th-dev{a} libghc-tasty-th-prof{a} libghc-temporary-dev{a} libghc-temporary-prof{a} libghc-terminal-size-dev{a} libghc-terminal-size-prof{a} libghc-text-conversions-dev{a} libghc-text-conversions-prof{a} libghc-th-abstraction-dev{a} libghc-th-abstraction-doc{a} libghc-th-abstraction-prof{a} libghc-th-compat-dev{a} libghc-th-compat-prof{a} libghc-th-expand-syns-dev{a} libghc-th-expand-syns-prof{a} libghc-th-lift-dev{a} libghc-th-lift-doc{a} libghc-th-lift-prof{a} libghc-th-orphans-dev{a} libghc-th-orphans-doc{a} libghc-th-orphans-prof{a} libghc-th-reify-many-dev{a} libghc-th-reify-many-prof{a} libghc-these-dev{a} libghc-these-prof{a} libghc-transformers-base-dev{a} libghc-transformers-base-prof{a} libghc-transformers-compat-dev{a} libghc-transformers-compat-prof{a} libghc-type-equality-dev{a} libghc-type-equality-prof{a} libghc-type-errors-dev{a} libghc-type-errors-doc{a} libghc-type-errors-prof{a} libghc-uniplate-dev{a} libghc-uniplate-doc{a} libghc-uniplate-prof{a} libghc-unliftio-core-dev{a} libghc-unliftio-core-prof{a} libghc-unordered-containers-dev{a} libghc-unordered-containers-prof{a} libghc-utf8-string-dev{a} libghc-utf8-string-prof{a} libghc-vector-dev{a} libghc-vector-doc{a} libghc-vector-prof{a} libghc-vector-stream-dev{a} libghc-vector-stream-prof{a} libghc-void-dev{a} libghc-void-prof{a} libghc-wl-pprint-annotated-dev{a} libghc-wl-pprint-annotated-prof{a} libgmp-dev{a} libgmpxx4ldbl{a} libgssapi-krb5-2{a} libicu72{a} libipc-run3-perl{a} libjs-mathjax{a} libk5crypto3{a} libkeyutils1{a} libkrb5-3{a} libkrb5support0{a} libldap-2.5-0{a} liblist-someutils-perl{a} libmagic-mgc{a} libmagic1t64{a} libmd-dev{a} libmodule-implementation-perl{a} libmodule-runtime-perl{a} libncurses-dev{a} libncurses6{a} libnghttp2-14{a} libparams-classify-perl{a} libparams-util-perl{a} libpath-tiny-perl{a} libpipeline1{a} libpsl5t64{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} libreadline8t64{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libssh2-1t64{a} libsub-exporter-perl{a} libsub-exporter-progressive-perl{a} libsub-install-perl{a} libsub-override-perl{a} libtimedate-perl{a} libtool{a} libtry-tiny-perl{a} libuchardet0{a} libunicode-utf8-perl{a} libxml2{a} m4{a} man-db{a} media-types{a} netbase{a} po-debconf{a} python3{a} python3-minimal{a} python3-pkg-resources{a} python3-scour{a} python3.11{a} python3.11-minimal{a} readline-common{a} scour{a} sensible-utils{a} tzdata{a} The following packages are RECOMMENDED but will NOT be installed: ca-certificates curl krb5-locales libarchive-cpio-perl libghc-assoc-doc libghc-base-orphans-doc libghc-bifunctors-doc libghc-call-stack-doc libghc-clock-doc libghc-comonad-doc libghc-contravariant-doc libghc-data-fix-doc libghc-distributive-doc libghc-first-class-families-doc libghc-free-doc libghc-ghc-tcplugins-extra-doc libghc-indexed-traversable-doc libghc-indexed-traversable-instances-doc libghc-kan-extensions-doc libghc-parallel-doc libghc-primitive-doc libghc-profunctors-doc libghc-random-doc libghc-semigroupoids-doc libghc-splitmix-doc libghc-src-exts-doc libghc-src-meta-doc libghc-stream-doc libghc-strict-doc libghc-syb-doc libghc-tagged-doc libghc-text-conversions-doc libghc-th-compat-doc libghc-th-reify-many-doc libghc-these-doc libghc-type-equality-doc libghc-unordered-containers-doc libghc-utf8-string-doc libghc-vector-stream-doc libgpm2 libldap-common liblist-someutils-xs-perl libltdl-dev libmail-sendmail-perl libsasl2-modules lynx publicsuffix wget 0 packages upgraded, 335 newly installed, 0 to remove and 0 not upgraded. Need to get 242 MB of archives. After unpacking 2540 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian trixie/main amd64 libgmpxx4ldbl amd64 2:6.3.0+dfsg-2+b1 [329 kB] Get: 2 http://deb.debian.org/debian trixie/main amd64 libgmp-dev amd64 2:6.3.0+dfsg-2+b1 [640 kB] Get: 3 http://deb.debian.org/debian trixie/main amd64 libffi-dev amd64 3.4.6-1 [60.6 kB] Get: 4 http://deb.debian.org/debian trixie/main amd64 libbsd0 amd64 0.12.2-1 [131 kB] Get: 5 http://deb.debian.org/debian trixie/main amd64 libmd-dev amd64 1.1.0-2 [54.9 kB] Get: 6 http://deb.debian.org/debian trixie/main amd64 libbsd-dev amd64 0.12.2-1 [258 kB] Get: 7 http://deb.debian.org/debian trixie/main amd64 libncurses6 amd64 6.4+20240414-1 [104 kB] Get: 8 http://deb.debian.org/debian trixie/main amd64 libncurses-dev amd64 6.4+20240414-1 [349 kB] Get: 9 http://deb.debian.org/debian trixie/main amd64 ghc amd64 9.4.7-5 [71.9 MB] Get: 10 http://deb.debian.org/debian trixie/main amd64 fonts-mathjax all 2.7.9+dfsg-1 [2210 kB] Get: 11 http://deb.debian.org/debian trixie/main amd64 libjs-mathjax all 2.7.9+dfsg-1 [5667 kB] Get: 12 http://deb.debian.org/debian trixie/main amd64 ghc-doc all 9.4.7-5 [33.4 MB] Get: 13 http://deb.debian.org/debian trixie/main amd64 libpython3.11-minimal amd64 3.11.9-1 [817 kB] Get: 14 http://deb.debian.org/debian trixie/main amd64 libexpat1 amd64 2.6.2-1 [103 kB] Get: 15 http://deb.debian.org/debian trixie/main amd64 python3.11-minimal amd64 3.11.9-1 [1879 kB] Get: 16 http://deb.debian.org/debian trixie/main amd64 python3-minimal amd64 3.11.8-1 [26.3 kB] Get: 17 http://deb.debian.org/debian trixie/main amd64 media-types all 10.1.0 [26.9 kB] Get: 18 http://deb.debian.org/debian trixie/main amd64 netbase all 6.4 [12.8 kB] Get: 19 http://deb.debian.org/debian trixie/main amd64 tzdata all 2024a-3 [255 kB] Get: 20 http://deb.debian.org/debian trixie/main amd64 readline-common all 8.2-4 [69.3 kB] Get: 21 http://deb.debian.org/debian trixie/main amd64 libreadline8t64 amd64 8.2-4 [167 kB] Get: 22 http://deb.debian.org/debian trixie/main amd64 libpython3.11-stdlib amd64 3.11.9-1 [1792 kB] Get: 23 http://deb.debian.org/debian trixie/main amd64 python3.11 amd64 3.11.9-1 [602 kB] Get: 24 http://deb.debian.org/debian trixie/main amd64 libpython3-stdlib amd64 3.11.8-1 [9332 B] Get: 25 http://deb.debian.org/debian trixie/main amd64 python3 amd64 3.11.8-1 [27.4 kB] Get: 26 http://deb.debian.org/debian trixie/main amd64 sensible-utils all 0.0.22 [22.4 kB] Get: 27 http://deb.debian.org/debian trixie/main amd64 libmagic-mgc amd64 1:5.45-3 [314 kB] Get: 28 http://deb.debian.org/debian trixie/main amd64 libmagic1t64 amd64 1:5.45-3 [105 kB] Get: 29 http://deb.debian.org/debian trixie/main amd64 file amd64 1:5.45-3 [42.9 kB] Get: 30 http://deb.debian.org/debian trixie/main amd64 gettext-base amd64 0.21-14+b1 [161 kB] Get: 31 http://deb.debian.org/debian trixie/main amd64 libuchardet0 amd64 0.0.8-1+b1 [68.8 kB] Get: 32 http://deb.debian.org/debian trixie/main amd64 groff-base amd64 1.23.0-4 [1180 kB] Get: 33 http://deb.debian.org/debian trixie/main amd64 bsdextrautils amd64 2.40-8 [92.8 kB] Get: 34 http://deb.debian.org/debian trixie/main amd64 libpipeline1 amd64 1.5.7-2 [38.0 kB] Get: 35 http://deb.debian.org/debian trixie/main amd64 man-db amd64 2.12.1-1 [1411 kB] Get: 36 http://deb.debian.org/debian trixie/main amd64 m4 amd64 1.4.19-4 [287 kB] Get: 37 http://deb.debian.org/debian trixie/main amd64 autoconf all 2.71-3 [332 kB] Get: 38 http://deb.debian.org/debian trixie/main amd64 autotools-dev all 20220109.1 [51.6 kB] Get: 39 http://deb.debian.org/debian trixie/main amd64 automake all 1:1.16.5-1.3 [823 kB] Get: 40 http://deb.debian.org/debian trixie/main amd64 autopoint all 0.21-14 [496 kB] Get: 41 http://deb.debian.org/debian trixie/main amd64 python3-pkg-resources all 68.1.2-2 [241 kB] Get: 42 http://deb.debian.org/debian trixie/main amd64 python3-scour all 0.38.2-4.1 [55.7 kB] Get: 43 http://deb.debian.org/debian trixie/main amd64 scour all 0.38.2-4.1 [17.2 kB] Get: 44 http://deb.debian.org/debian trixie/main amd64 cdbs all 0.4.166 [47.3 kB] Get: 45 http://deb.debian.org/debian trixie/main amd64 dctrl-tools amd64 2.24-3+b1 [104 kB] Get: 46 http://deb.debian.org/debian trixie/main amd64 libdebhelper-perl all 13.15.3 [88.0 kB] Get: 47 http://deb.debian.org/debian trixie/main amd64 libtool all 2.4.7-7 [517 kB] Get: 48 http://deb.debian.org/debian trixie/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 49 http://deb.debian.org/debian trixie/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 50 http://deb.debian.org/debian trixie/main amd64 libsub-override-perl all 0.10-1 [10.6 kB] Get: 51 http://deb.debian.org/debian trixie/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 52 http://deb.debian.org/debian trixie/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 53 http://deb.debian.org/debian trixie/main amd64 libelf1t64 amd64 0.191-1+b1 [189 kB] Get: 54 http://deb.debian.org/debian trixie/main amd64 dwz amd64 0.15-1+b1 [110 kB] Get: 55 http://deb.debian.org/debian trixie/main amd64 libicu72 amd64 72.1-4+b1 [9395 kB] Get: 56 http://deb.debian.org/debian trixie/main amd64 libxml2 amd64 2.9.14+dfsg-1.3+b3 [692 kB] Get: 57 http://deb.debian.org/debian trixie/main amd64 gettext amd64 0.21-14+b1 [1301 kB] Get: 58 http://deb.debian.org/debian trixie/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 59 http://deb.debian.org/debian trixie/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 60 http://deb.debian.org/debian trixie/main amd64 debhelper all 13.15.3 [901 kB] Get: 61 http://deb.debian.org/debian trixie/main amd64 dh-buildinfo all 0.11+nmu3 [17.1 kB] Get: 62 http://deb.debian.org/debian trixie/main amd64 ghc-prof amd64 9.4.7-5 [51.0 MB] Get: 63 http://deb.debian.org/debian trixie/main amd64 libbrotli1 amd64 1.1.0-2+b3 [305 kB] Get: 64 http://deb.debian.org/debian trixie/main amd64 libkrb5support0 amd64 1.20.1-6+b1 [33.3 kB] Get: 65 http://deb.debian.org/debian trixie/main amd64 libcom-err2 amd64 1.47.1~rc2-1 [22.6 kB] Get: 66 http://deb.debian.org/debian trixie/main amd64 libk5crypto3 amd64 1.20.1-6+b1 [79.8 kB] Get: 67 http://deb.debian.org/debian trixie/main amd64 libkeyutils1 amd64 1.6.3-3 [8952 B] Get: 68 http://deb.debian.org/debian trixie/main amd64 libkrb5-3 amd64 1.20.1-6+b1 [333 kB] Get: 69 http://deb.debian.org/debian trixie/main amd64 libgssapi-krb5-2 amd64 1.20.1-6+b1 [135 kB] Get: 70 http://deb.debian.org/debian trixie/main amd64 libsasl2-modules-db amd64 2.1.28+dfsg1-6 [19.5 kB] Get: 71 http://deb.debian.org/debian trixie/main amd64 libsasl2-2 amd64 2.1.28+dfsg1-6 [56.9 kB] Get: 72 http://deb.debian.org/debian trixie/main amd64 libldap-2.5-0 amd64 2.5.17+dfsg-1 [186 kB] Get: 73 http://deb.debian.org/debian trixie/main amd64 libnghttp2-14 amd64 1.61.0-1+b1 [75.6 kB] Get: 74 http://deb.debian.org/debian trixie/main amd64 libpsl5t64 amd64 0.21.2-1.1 [56.8 kB] Get: 75 http://deb.debian.org/debian trixie/main amd64 librtmp1 amd64 2.4+20151223.gitfa8646d.1-2+b4 [58.5 kB] Get: 76 http://deb.debian.org/debian trixie/main amd64 libssh2-1t64 amd64 1.11.0-4.1+b2 [215 kB] Get: 77 http://deb.debian.org/debian trixie/main amd64 libcurl3t64-gnutls amd64 8.7.1-3 [432 kB] Get: 78 http://deb.debian.org/debian trixie/main amd64 html-xml-utils amd64 7.7-1.1+b1 [317 kB] Get: 79 http://deb.debian.org/debian trixie/main amd64 libparams-util-perl amd64 1.102-3 [24.0 kB] Get: 80 http://deb.debian.org/debian trixie/main amd64 libsub-install-perl all 0.929-1 [10.5 kB] Get: 81 http://deb.debian.org/debian trixie/main amd64 libdata-optlist-perl all 0.114-1 [10.6 kB] Get: 82 http://deb.debian.org/debian trixie/main amd64 libsub-exporter-perl all 0.990-1 [50.6 kB] Get: 83 http://deb.debian.org/debian trixie/main amd64 libsub-exporter-progressive-perl all 0.001013-3 [7496 B] Get: 84 http://deb.debian.org/debian trixie/main amd64 libconst-fast-perl all 0.014-2 [8792 B] Get: 85 http://deb.debian.org/debian trixie/main amd64 libdevel-confess-perl all 0.009004-3 [19.6 kB] Get: 86 http://deb.debian.org/debian trixie/main amd64 libipc-run3-perl all 0.049-1 [31.5 kB] Get: 87 http://deb.debian.org/debian trixie/main amd64 libb-hooks-op-check-perl amd64 0.22-3+b1 [10.6 kB] Get: 88 http://deb.debian.org/debian trixie/main amd64 libdynaloader-functions-perl all 0.003-3 [12.7 kB] Get: 89 http://deb.debian.org/debian trixie/main amd64 libdevel-callchecker-perl amd64 0.009-1 [15.9 kB] Get: 90 http://deb.debian.org/debian trixie/main amd64 libparams-classify-perl amd64 0.015-2+b3 [22.4 kB] Get: 91 http://deb.debian.org/debian trixie/main amd64 libmodule-runtime-perl all 0.016-2 [19.6 kB] Get: 92 http://deb.debian.org/debian trixie/main amd64 libtry-tiny-perl all 0.31-2 [22.6 kB] Get: 93 http://deb.debian.org/debian trixie/main amd64 libmodule-implementation-perl all 0.09-2 [12.6 kB] Get: 94 http://deb.debian.org/debian trixie/main amd64 liblist-someutils-perl all 0.59-1 [37.1 kB] Get: 95 http://deb.debian.org/debian trixie/main amd64 libpath-tiny-perl all 0.144-1 [56.4 kB] Get: 96 http://deb.debian.org/debian trixie/main amd64 libtimedate-perl all 2.3300-2 [39.3 kB] Get: 97 http://deb.debian.org/debian trixie/main amd64 libunicode-utf8-perl amd64 0.62-2+b2 [20.2 kB] Get: 98 http://deb.debian.org/debian trixie/main amd64 haskell-devscripts-minimal all 0.16.33 [53.0 kB] Get: 99 http://deb.debian.org/debian trixie/main amd64 libghc-base-orphans-dev amd64 0.9.1-1 [39.6 kB] Get: 100 http://deb.debian.org/debian trixie/main amd64 libghc-tagged-dev amd64 0.8.7-1+b1 [76.6 kB] Get: 101 http://deb.debian.org/debian trixie/main amd64 libghc-distributive-dev amd64 0.6.2.1-2+b1 [50.5 kB] Get: 102 http://deb.debian.org/debian trixie/main amd64 libghc-foldable1-classes-compat-dev amd64 0.1-2+b1 [95.6 kB] Get: 103 http://deb.debian.org/debian trixie/main amd64 libghc-indexed-traversable-dev amd64 0.1.3-1 [147 kB] Get: 104 http://deb.debian.org/debian trixie/main amd64 libghc-transformers-compat-dev amd64 0.7.2-1+b1 [146 kB] Get: 105 http://deb.debian.org/debian trixie/main amd64 libghc-comonad-dev amd64 5.0.8-2+b1 [125 kB] Get: 106 http://deb.debian.org/debian trixie/main amd64 libghc-statevar-dev amd64 1.2.2-2+b1 [27.3 kB] Get: 107 http://deb.debian.org/debian trixie/main amd64 libghc-contravariant-dev amd64 1.5.5-2+b1 [76.4 kB] Get: 108 http://deb.debian.org/debian trixie/main amd64 libghc-th-abstraction-dev amd64 0.4.5.0-2+b1 [213 kB] Get: 109 http://deb.debian.org/debian trixie/main amd64 libghc-bifunctors-dev amd64 5.5.15-1+b1 [508 kB] Get: 110 http://deb.debian.org/debian trixie/main amd64 libghc-profunctors-dev amd64 5.6.2-2+b1 [354 kB] Get: 111 http://deb.debian.org/debian trixie/main amd64 libghc-hashable-dev amd64 1.4.3.0-1+b1 [129 kB] Get: 112 http://deb.debian.org/debian trixie/main amd64 libghc-unordered-containers-dev amd64 0.2.19.1-2+b1 [296 kB] Get: 113 http://deb.debian.org/debian trixie/main amd64 libghc-semigroupoids-dev amd64 5.3.7-2+b1 [440 kB] Get: 114 http://deb.debian.org/debian trixie/main amd64 libghc-transformers-base-dev amd64 0.4.6-2+b1 [31.0 kB] Get: 115 http://deb.debian.org/debian trixie/main amd64 libghc-free-dev amd64 5.1.10-1+b1 [675 kB] Get: 116 http://deb.debian.org/debian trixie/main amd64 libghc-semigroups-dev amd64 0.20-2+b1 [20.4 kB] Get: 117 http://deb.debian.org/debian trixie/main amd64 libghc-void-dev amd64 0.7.3-4+b1 [10.7 kB] Get: 118 http://deb.debian.org/debian trixie/main amd64 libghc-adjunctions-dev amd64 4.4.2-2+b1 [207 kB] Get: 119 http://deb.debian.org/debian trixie/main amd64 libghc-base-orphans-prof amd64 0.9.1-1 [37.7 kB] Get: 120 http://deb.debian.org/debian trixie/main amd64 libghc-tagged-prof amd64 0.8.7-1+b1 [72.2 kB] Get: 121 http://deb.debian.org/debian trixie/main amd64 libghc-distributive-prof amd64 0.6.2.1-2+b1 [51.4 kB] Get: 122 http://deb.debian.org/debian trixie/main amd64 libghc-foldable1-classes-compat-prof amd64 0.1-2+b1 [86.8 kB] Get: 123 http://deb.debian.org/debian trixie/main amd64 libghc-indexed-traversable-prof amd64 0.1.3-1 [137 kB] Get: 124 http://deb.debian.org/debian trixie/main amd64 libghc-transformers-compat-prof amd64 0.7.2-1+b1 [135 kB] Get: 125 http://deb.debian.org/debian trixie/main amd64 libghc-comonad-prof amd64 5.0.8-2+b1 [124 kB] Get: 126 http://deb.debian.org/debian trixie/main amd64 libghc-statevar-prof amd64 1.2.2-2+b1 [24.2 kB] Get: 127 http://deb.debian.org/debian trixie/main amd64 libghc-contravariant-prof amd64 1.5.5-2+b1 [74.2 kB] Get: 128 http://deb.debian.org/debian trixie/main amd64 libghc-th-abstraction-prof amd64 0.4.5.0-2+b1 [196 kB] Get: 129 http://deb.debian.org/debian trixie/main amd64 libghc-bifunctors-prof amd64 5.5.15-1+b1 [513 kB] Get: 130 http://deb.debian.org/debian trixie/main amd64 libghc-profunctors-prof amd64 5.6.2-2+b1 [358 kB] Get: 131 http://deb.debian.org/debian trixie/main amd64 libghc-hashable-prof amd64 1.4.3.0-1+b1 [122 kB] Get: 132 http://deb.debian.org/debian trixie/main amd64 libghc-unordered-containers-prof amd64 0.2.19.1-2+b1 [351 kB] Get: 133 http://deb.debian.org/debian trixie/main amd64 libghc-semigroupoids-prof amd64 5.3.7-2+b1 [442 kB] Get: 134 http://deb.debian.org/debian trixie/main amd64 libghc-transformers-base-prof amd64 0.4.6-2+b1 [30.8 kB] Get: 135 http://deb.debian.org/debian trixie/main amd64 libghc-free-prof amd64 5.1.10-1+b1 [684 kB] Get: 136 http://deb.debian.org/debian trixie/main amd64 libghc-semigroups-prof amd64 0.20-2+b1 [18.3 kB] Get: 137 http://deb.debian.org/debian trixie/main amd64 libghc-void-prof amd64 0.7.3-4+b1 [9456 B] Get: 138 http://deb.debian.org/debian trixie/main amd64 libghc-adjunctions-prof amd64 4.4.2-2+b1 [222 kB] Get: 139 http://deb.debian.org/debian trixie/main amd64 libghc-colour-dev amd64 2.3.6-2+b1 [207 kB] Get: 140 http://deb.debian.org/debian trixie/main amd64 libghc-ansi-terminal-types-dev amd64 0.11.5-2+b1 [101 kB] Get: 141 http://deb.debian.org/debian trixie/main amd64 libghc-ansi-terminal-dev amd64 0.11.5-1+b1 [68.7 kB] Get: 142 http://deb.debian.org/debian trixie/main amd64 libghc-colour-prof amd64 2.3.6-2+b1 [204 kB] Get: 143 http://deb.debian.org/debian trixie/main amd64 libghc-ansi-terminal-types-prof amd64 0.11.5-2+b1 [86.0 kB] Get: 144 http://deb.debian.org/debian trixie/main amd64 libghc-ansi-terminal-prof amd64 0.11.5-1+b1 [63.5 kB] Get: 145 http://deb.debian.org/debian trixie/main amd64 libghc-ansi-wl-pprint-dev amd64 0.6.9-6+b1 [72.2 kB] Get: 146 http://deb.debian.org/debian trixie/main amd64 libghc-ansi-wl-pprint-prof amd64 0.6.9-6+b1 [73.8 kB] Get: 147 http://deb.debian.org/debian trixie/main amd64 libghc-lazysmallcheck-dev amd64 0.6-14+b1 [66.9 kB] Get: 148 http://deb.debian.org/debian trixie/main amd64 libghc-splitmix-dev amd64 0.1.0.5-1 [49.6 kB] Get: 149 http://deb.debian.org/debian trixie/main amd64 libghc-random-dev amd64 1.2.1.1-3+b1 [309 kB] Get: 150 http://deb.debian.org/debian trixie/main amd64 libghc-quickcheck2-dev amd64 2.14.3-1+b1 [710 kB] Get: 151 http://deb.debian.org/debian trixie/main amd64 libghc-stream-dev amd64 0.4.7.2-9+b1 [40.1 kB] Get: 152 http://deb.debian.org/debian trixie/main amd64 libghc-arrows-dev amd64 0.4.4.2-5+b1 [236 kB] Get: 153 http://deb.debian.org/debian trixie/main amd64 libghc-arrows-doc all 0.4.4.2-5 [108 kB] Get: 154 http://deb.debian.org/debian trixie/main amd64 libghc-lazysmallcheck-prof amd64 0.6-14+b1 [53.3 kB] Get: 155 http://deb.debian.org/debian trixie/main amd64 libghc-splitmix-prof amd64 0.1.0.5-1 [46.1 kB] Get: 156 http://deb.debian.org/debian trixie/main amd64 libghc-random-prof amd64 1.2.1.1-3+b1 [293 kB] Get: 157 http://deb.debian.org/debian trixie/main amd64 libghc-quickcheck2-prof amd64 2.14.3-1+b1 [685 kB] Get: 158 http://deb.debian.org/debian trixie/main amd64 libghc-stream-prof amd64 0.4.7.2-9+b1 [39.4 kB] Get: 159 http://deb.debian.org/debian trixie/main amd64 libghc-arrows-prof amd64 0.4.4.2-5+b1 [242 kB] Get: 160 http://deb.debian.org/debian trixie/main amd64 libghc-assoc-dev amd64 1.1-1+b1 [18.1 kB] Get: 161 http://deb.debian.org/debian trixie/main amd64 libghc-assoc-prof amd64 1.1-1+b1 [15.3 kB] Get: 162 http://deb.debian.org/debian trixie/main amd64 libghc-async-dev amd64 2.2.4-4+b1 [68.7 kB] Get: 163 http://deb.debian.org/debian trixie/main amd64 libghc-async-prof amd64 2.2.4-4+b1 [66.8 kB] Get: 164 http://deb.debian.org/debian trixie/main amd64 libghc-barbies-dev amd64 2.0.4.0-3+b1 [329 kB] Get: 165 http://deb.debian.org/debian trixie/main amd64 libghc-barbies-prof amd64 2.0.4.0-3+b1 [325 kB] Get: 166 http://deb.debian.org/debian trixie/main amd64 libghc-base-compat-dev amd64 0.12.3-1+b2 [87.1 kB] Get: 167 http://deb.debian.org/debian trixie/main amd64 libghc-base-compat-prof amd64 0.12.3-1+b2 [68.6 kB] Get: 168 http://deb.debian.org/debian trixie/main amd64 libghc-base16-bytestring-dev amd64 1.0.2.0-2+b1 [29.7 kB] Get: 169 http://deb.debian.org/debian trixie/main amd64 libghc-base16-bytestring-prof amd64 1.0.2.0-2+b1 [26.4 kB] Get: 170 http://deb.debian.org/debian trixie/main amd64 libghc-base64-bytestring-dev amd64 1.2.1.0-2+b1 [49.7 kB] Get: 171 http://deb.debian.org/debian trixie/main amd64 libghc-base64-bytestring-prof amd64 1.2.1.0-2+b1 [52.4 kB] Get: 172 http://deb.debian.org/debian trixie/main amd64 libghc-call-stack-dev amd64 0.4.0-2+b1 [22.9 kB] Get: 173 http://deb.debian.org/debian trixie/main amd64 libghc-call-stack-prof amd64 0.4.0-2+b1 [19.7 kB] Get: 174 http://deb.debian.org/debian trixie/main amd64 libghc-clock-dev amd64 0.8.4-1+b1 [90.0 kB] Get: 175 http://deb.debian.org/debian trixie/main amd64 libghc-clock-prof amd64 0.8.4-1+b1 [77.2 kB] Get: 176 http://deb.debian.org/debian trixie/main amd64 libghc-code-page-dev amd64 0.2.1-2+b1 [26.9 kB] Get: 177 http://deb.debian.org/debian trixie/main amd64 libghc-code-page-prof amd64 0.2.1-2+b1 [24.3 kB] Get: 178 http://deb.debian.org/debian trixie/main amd64 libghc-terminal-size-dev amd64 0.3.4-1+b1 [47.0 kB] Get: 179 http://deb.debian.org/debian trixie/main amd64 libghc-concurrent-output-dev amd64 1.10.20-1+b1 [150 kB] Get: 180 http://deb.debian.org/debian trixie/main amd64 libghc-terminal-size-prof amd64 0.3.4-1+b1 [43.1 kB] Get: 181 http://deb.debian.org/debian trixie/main amd64 libghc-concurrent-output-prof amd64 1.10.20-1+b1 [133 kB] Get: 182 http://deb.debian.org/debian trixie/main amd64 libghc-type-equality-dev amd64 1-4+b1 [10.6 kB] Get: 183 http://deb.debian.org/debian trixie/main amd64 libghc-constraints-dev amd64 0.13.4-2+b1 [249 kB] Get: 184 http://deb.debian.org/debian trixie/main amd64 libghc-constraints-doc all 0.13.4-2 [153 kB] Get: 185 http://deb.debian.org/debian trixie/main amd64 libghc-type-equality-prof amd64 1-4+b1 [8744 B] Get: 186 http://deb.debian.org/debian trixie/main amd64 libghc-constraints-prof amd64 0.13.4-2+b1 [218 kB] Get: 187 http://deb.debian.org/debian trixie/main amd64 libghc-data-binary-ieee754-dev amd64 0.4.4-12+b1 [23.2 kB] Get: 188 http://deb.debian.org/debian trixie/main amd64 libghc-data-binary-ieee754-doc all 0.4.4-12 [40.1 kB] Get: 189 http://deb.debian.org/debian trixie/main amd64 libghc-data-binary-ieee754-prof amd64 0.4.4-12+b1 [23.4 kB] Get: 190 http://deb.debian.org/debian trixie/main amd64 libghc-data-default-class-dev amd64 0.1.2.0-7+b1 [20.9 kB] Get: 191 http://deb.debian.org/debian trixie/main amd64 libghc-data-default-class-doc all 0.1.2.0-7 [42.7 kB] Get: 192 http://deb.debian.org/debian trixie/main amd64 libghc-data-default-class-prof amd64 0.1.2.0-7+b1 [17.6 kB] Get: 193 http://deb.debian.org/debian trixie/main amd64 libghc-data-fix-dev amd64 0.3.2-2+b1 [49.2 kB] Get: 194 http://deb.debian.org/debian trixie/main amd64 libghc-data-fix-prof amd64 0.3.2-2+b1 [48.9 kB] Get: 195 http://deb.debian.org/debian trixie/main amd64 libghc-dlist-dev amd64 1.0-3+b1 [69.2 kB] Get: 196 http://deb.debian.org/debian trixie/main amd64 libghc-dlist-prof amd64 1.0-3+b1 [67.6 kB] Get: 197 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-paths-dev amd64 0.1.0.12-3+b1 [12.9 kB] Get: 198 http://deb.debian.org/debian trixie/main amd64 libghc-glob-dev amd64 0.10.2-2+b2 [130 kB] Get: 199 http://deb.debian.org/debian trixie/main amd64 libghc-syb-dev amd64 0.7.2.4-1+b1 [109 kB] Get: 200 http://deb.debian.org/debian trixie/main amd64 libghc-doctest-parallel-dev amd64 0.3.0.1-1+b3 [332 kB] Get: 201 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-paths-prof amd64 0.1.0.12-3+b1 [11.3 kB] Get: 202 http://deb.debian.org/debian trixie/main amd64 libghc-glob-prof amd64 0.10.2-2+b2 [123 kB] Get: 203 http://deb.debian.org/debian trixie/main amd64 libghc-syb-prof amd64 0.7.2.4-1+b1 [102 kB] Get: 204 http://deb.debian.org/debian trixie/main amd64 libghc-doctest-parallel-prof amd64 0.3.0.1-1+b3 [304 kB] Get: 205 http://deb.debian.org/debian trixie/main amd64 libghc-erf-dev amd64 2.0.0.0-18+b1 [22.8 kB] Get: 206 http://deb.debian.org/debian trixie/main amd64 libghc-erf-prof amd64 2.0.0.0-18+b1 [19.5 kB] Get: 207 http://deb.debian.org/debian trixie/main amd64 libghc-extra-dev amd64 1.7.14-1+b2 [186 kB] Get: 208 http://deb.debian.org/debian trixie/main amd64 libghc-extra-doc all 1.7.14-1 [173 kB] Get: 209 http://deb.debian.org/debian trixie/main amd64 libghc-extra-prof amd64 1.7.14-1+b2 [188 kB] Get: 210 http://deb.debian.org/debian trixie/main amd64 libghc-first-class-families-dev amd64 0.8.0.1-2+b1 [80.2 kB] Get: 211 http://deb.debian.org/debian trixie/main amd64 libghc-first-class-families-prof amd64 0.8.0.1-2+b1 [66.2 kB] Get: 212 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-tcplugins-extra-dev amd64 0.4.5-1+b2 [73.8 kB] Get: 213 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-tcplugins-extra-prof amd64 0.4.5-1+b2 [70.9 kB] Get: 214 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-natnormalise-dev amd64 0.7.9-1+b2 [175 kB] Get: 215 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-knownnat-dev amd64 0.7.9-1+b2 [70.1 kB] Get: 216 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-extra-dev amd64 0.4.6-1+b2 [100 kB] Get: 217 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-extra-doc all 0.4.6-1 [70.1 kB] Get: 218 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-natnormalise-prof amd64 0.7.9-1+b2 [150 kB] Get: 219 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-knownnat-prof amd64 0.7.9-1+b2 [60.9 kB] Get: 220 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-extra-prof amd64 0.4.6-1+b2 [84.9 kB] Get: 221 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-knownnat-doc all 0.7.9-1 [69.9 kB] Get: 222 http://deb.debian.org/debian trixie/main amd64 libghc-ghc-typelits-natnormalise-doc all 0.7.9-1 [108 kB] Get: 223 http://deb.debian.org/debian trixie/main amd64 libghc-half-dev amd64 0.3.1-2+b1 [56.0 kB] Get: 224 http://deb.debian.org/debian trixie/main amd64 libghc-half-doc all 0.3.1-2 [52.6 kB] Get: 225 http://deb.debian.org/debian trixie/main amd64 libghc-half-prof amd64 0.3.1-2+b1 [47.3 kB] Get: 226 http://deb.debian.org/debian trixie/main amd64 libghc-hashable-doc all 1.4.3.0-1 [87.2 kB] Get: 227 http://deb.debian.org/debian trixie/main amd64 libghc-haskell-lexer-dev amd64 1.1.1-1+b1 [313 kB] Get: 228 http://deb.debian.org/debian trixie/main amd64 libghc-haskell-lexer-prof amd64 1.1.1-1+b1 [294 kB] Get: 229 http://deb.debian.org/debian trixie/main amd64 libghc-monad-control-dev amd64 1.0.3.1-2+b1 [46.7 kB] Get: 230 http://deb.debian.org/debian trixie/main amd64 libghc-lifted-base-dev amd64 0.2.3.12-5+b1 [50.0 kB] Get: 231 http://deb.debian.org/debian trixie/main amd64 libghc-lifted-async-dev amd64 0.10.2.4-1+b1 [73.0 kB] Get: 232 http://deb.debian.org/debian trixie/main amd64 libghc-mmorph-dev amd64 1.2.0-2+b1 [45.8 kB] Get: 233 http://deb.debian.org/debian trixie/main amd64 libghc-pretty-show-dev amd64 1.10-3+b1 [155 kB] Get: 234 http://deb.debian.org/debian trixie/main amd64 libghc-primitive-dev amd64 0.8.0.0-1+b1 [316 kB] Get: 235 http://deb.debian.org/debian trixie/main amd64 libghc-unliftio-core-dev amd64 0.2.1.0-1+b1 [27.1 kB] Get: 236 http://deb.debian.org/debian trixie/main amd64 libghc-resourcet-dev amd64 1.2.6-2+b1 [111 kB] Get: 237 http://deb.debian.org/debian trixie/main amd64 libghc-wl-pprint-annotated-dev amd64 0.1.0.1-5+b1 [118 kB] Get: 238 http://deb.debian.org/debian trixie/main amd64 libghc-hedgehog-dev amd64 1.2-1+b3 [943 kB] Get: 239 http://deb.debian.org/debian trixie/main amd64 libghc-monad-control-prof amd64 1.0.3.1-2+b1 [46.4 kB] Get: 240 http://deb.debian.org/debian trixie/main amd64 libghc-lifted-base-prof amd64 0.2.3.12-5+b1 [56.2 kB] Get: 241 http://deb.debian.org/debian trixie/main amd64 libghc-lifted-async-prof amd64 0.10.2.4-1+b1 [79.2 kB] Get: 242 http://deb.debian.org/debian trixie/main amd64 libghc-mmorph-prof amd64 1.2.0-2+b1 [43.4 kB] Get: 243 http://deb.debian.org/debian trixie/main amd64 libghc-pretty-show-prof amd64 1.10-3+b1 [135 kB] Get: 244 http://deb.debian.org/debian trixie/main amd64 libghc-primitive-prof amd64 0.8.0.0-1+b1 [302 kB] Get: 245 http://deb.debian.org/debian trixie/main amd64 libghc-unliftio-core-prof amd64 0.2.1.0-1+b1 [24.1 kB] Get: 246 http://deb.debian.org/debian trixie/main amd64 libghc-resourcet-prof amd64 1.2.6-2+b1 [101 kB] Get: 247 http://deb.debian.org/debian trixie/main amd64 libghc-wl-pprint-annotated-prof amd64 0.1.0.1-5+b1 [107 kB] Get: 248 http://deb.debian.org/debian trixie/main amd64 libghc-hedgehog-prof amd64 1.2-1+b3 [915 kB] Get: 249 http://deb.debian.org/debian trixie/main amd64 libghc-temporary-dev amd64 1.3-4+b2 [23.8 kB] Get: 250 http://deb.debian.org/debian trixie/main amd64 libghc-hint-dev amd64 0.9.0.7-1+b3 [426 kB] Get: 251 http://deb.debian.org/debian trixie/main amd64 libghc-temporary-prof amd64 1.3-4+b2 [22.2 kB] Get: 252 http://deb.debian.org/debian trixie/main amd64 libghc-hint-prof amd64 0.9.0.7-1+b3 [382 kB] Get: 253 http://deb.debian.org/debian trixie/main amd64 libghc-onetuple-dev amd64 0.4.1.1-1+b1 [14.1 kB] Get: 254 http://deb.debian.org/debian trixie/main amd64 libghc-vector-stream-dev amd64 0.1.0.0-2+b1 [106 kB] Get: 255 http://deb.debian.org/debian trixie/main amd64 libghc-vector-dev amd64 0.13.1.0-1 [1671 kB] Get: 256 http://deb.debian.org/debian trixie/main amd64 libghc-indexed-traversable-instances-dev amd64 0.1.1.2-1+b1 [32.1 kB] Get: 257 http://deb.debian.org/debian trixie/main amd64 libghc-onetuple-prof amd64 0.4.1.1-1+b1 [12.3 kB] Get: 258 http://deb.debian.org/debian trixie/main amd64 libghc-vector-stream-prof amd64 0.1.0.0-2+b1 [107 kB] Get: 259 http://deb.debian.org/debian trixie/main amd64 libghc-vector-prof amd64 0.13.1.0-1 [1533 kB] Get: 260 http://deb.debian.org/debian trixie/main amd64 libghc-indexed-traversable-instances-prof amd64 0.1.1.2-1+b1 [29.9 kB] Get: 261 http://deb.debian.org/debian trixie/main amd64 libghc-infinite-list-dev amd64 0.1-2+b1 [71.0 kB] Get: 262 http://deb.debian.org/debian trixie/main amd64 libghc-infinite-list-doc all 0.1-2 [92.8 kB] Get: 263 http://deb.debian.org/debian trixie/main amd64 libghc-infinite-list-prof amd64 0.1-2+b1 [71.2 kB] Get: 264 http://deb.debian.org/debian trixie/main amd64 libghc-invariant-dev amd64 0.6.2-1+b1 [215 kB] Get: 265 http://deb.debian.org/debian trixie/main amd64 libghc-invariant-prof amd64 0.6.2-1+b1 [209 kB] Get: 266 http://deb.debian.org/debian trixie/main amd64 libghc-kan-extensions-dev amd64 5.2.5-2+b1 [226 kB] Get: 267 http://deb.debian.org/debian trixie/main amd64 libghc-kan-extensions-prof amd64 5.2.5-2+b1 [236 kB] Get: 268 http://deb.debian.org/debian trixie/main amd64 libghc-parallel-dev amd64 3.2.2.0-5+b1 [56.9 kB] Get: 269 http://deb.debian.org/debian trixie/main amd64 libghc-reflection-dev amd64 2.1.7-1+b1 [251 kB] Get: 270 http://deb.debian.org/debian trixie/main amd64 libghc-these-dev amd64 1.2-1+b1 [133 kB] Get: 271 http://deb.debian.org/debian trixie/main amd64 libghc-strict-dev amd64 0.5-1+b1 [216 kB] Get: 272 http://deb.debian.org/debian trixie/main amd64 libghc-lens-dev amd64 5.2.3-1+b1 [1857 kB] Get: 273 http://deb.debian.org/debian trixie/main amd64 libghc-lens-doc all 5.2.3-1 [1710 kB] Get: 274 http://deb.debian.org/debian trixie/main amd64 libghc-parallel-prof amd64 3.2.2.0-5+b1 [57.6 kB] Get: 275 http://deb.debian.org/debian trixie/main amd64 libghc-reflection-prof amd64 2.1.7-1+b1 [189 kB] Get: 276 http://deb.debian.org/debian trixie/main amd64 libghc-these-prof amd64 1.2-1+b1 [123 kB] Get: 277 http://deb.debian.org/debian trixie/main amd64 libghc-strict-prof amd64 0.5-1+b1 [200 kB] Get: 278 http://deb.debian.org/debian trixie/main amd64 libghc-lens-prof amd64 5.2.3-1+b1 [1858 kB] Get: 279 http://deb.debian.org/debian trixie/main amd64 libghc-optparse-applicative-dev amd64 0.17.1.0-1+b1 [343 kB] Get: 280 http://deb.debian.org/debian trixie/main amd64 libghc-optparse-applicative-prof amd64 0.17.1.0-1+b1 [344 kB] Get: 281 http://deb.debian.org/debian trixie/main amd64 libghc-quickcheck-classes-base-dev amd64 0.6.2.0-4+b1 [293 kB] Get: 282 http://deb.debian.org/debian trixie/main amd64 libghc-quickcheck-classes-base-prof amd64 0.6.2.0-4+b1 [301 kB] Get: 283 http://deb.debian.org/debian trixie/main amd64 libghc-quickcheck2-doc all 2.14.3-1 [291 kB] Get: 284 http://deb.debian.org/debian trixie/main amd64 libghc-recursion-schemes-dev amd64 5.2.2.4-3+b1 [232 kB] Get: 285 http://deb.debian.org/debian trixie/main amd64 libghc-recursion-schemes-doc all 5.2.2.4-3 [136 kB] Get: 286 http://deb.debian.org/debian trixie/main amd64 libghc-recursion-schemes-prof amd64 5.2.2.4-3+b1 [230 kB] Get: 287 http://deb.debian.org/debian trixie/main amd64 libghc-reflection-doc all 2.1.7-1 [62.6 kB] Get: 288 http://deb.debian.org/debian trixie/main amd64 libghc-safe-dev amd64 0.3.19-3+b1 [85.1 kB] Get: 289 http://deb.debian.org/debian trixie/main amd64 libghc-safe-prof amd64 0.3.19-3+b1 [86.2 kB] Get: 290 http://deb.debian.org/debian trixie/main amd64 libghc-singletons-dev amd64 3.0.2-2+b1 [79.6 kB] Get: 291 http://deb.debian.org/debian trixie/main amd64 libghc-singletons-doc all 3.0.2-2 [123 kB] Get: 292 http://deb.debian.org/debian trixie/main amd64 libghc-singletons-prof amd64 3.0.2-2+b1 [76.4 kB] Get: 293 http://deb.debian.org/debian trixie/main amd64 libghc-split-dev amd64 0.2.3.5-2+b1 [50.7 kB] Get: 294 http://deb.debian.org/debian trixie/main amd64 libghc-split-prof amd64 0.2.3.5-2+b1 [47.1 kB] Get: 295 http://deb.debian.org/debian trixie/main amd64 libghc-src-exts-dev amd64 1.23.1-4+b1 [3966 kB] Get: 296 http://deb.debian.org/debian trixie/main amd64 libghc-src-exts-prof amd64 1.23.1-4+b1 [3587 kB] Get: 297 http://deb.debian.org/debian trixie/main amd64 libghc-th-compat-dev amd64 0.1.4-2+b1 [29.6 kB] Get: 298 http://deb.debian.org/debian trixie/main amd64 libghc-th-lift-dev amd64 0.8.4-1+b1 [58.5 kB] Get: 299 http://deb.debian.org/debian trixie/main amd64 libghc-th-expand-syns-dev amd64 0.4.11.0-1+b1 [40.7 kB] Get: 300 http://deb.debian.org/debian trixie/main amd64 libghc-th-reify-many-dev amd64 0.1.10-2+b1 [35.8 kB] Get: 301 http://deb.debian.org/debian trixie/main amd64 libghc-th-orphans-dev amd64 0.13.14-2+b1 [187 kB] Get: 302 http://deb.debian.org/debian trixie/main amd64 libghc-src-meta-dev amd64 0.8.12-1+b1 [154 kB] Get: 303 http://deb.debian.org/debian trixie/main amd64 libghc-th-compat-prof amd64 0.1.4-2+b1 [26.8 kB] Get: 304 http://deb.debian.org/debian trixie/main amd64 libghc-th-lift-prof amd64 0.8.4-1+b1 [56.2 kB] Get: 305 http://deb.debian.org/debian trixie/main amd64 libghc-th-expand-syns-prof amd64 0.4.11.0-1+b1 [37.2 kB] Get: 306 http://deb.debian.org/debian trixie/main amd64 libghc-th-reify-many-prof amd64 0.1.10-2+b1 [32.9 kB] Get: 307 http://deb.debian.org/debian trixie/main amd64 libghc-th-orphans-prof amd64 0.13.14-2+b1 [194 kB] Get: 308 http://deb.debian.org/debian trixie/main amd64 libghc-src-meta-prof amd64 0.8.12-1+b1 [136 kB] Get: 309 http://deb.debian.org/debian trixie/main amd64 libghc-text-conversions-dev amd64 0.3.1.1-2+b1 [36.3 kB] Get: 310 http://deb.debian.org/debian trixie/main amd64 libghc-utf8-string-dev amd64 1.0.2-2+b1 [112 kB] Get: 311 http://deb.debian.org/debian trixie/main amd64 libghc-string-interpolate-dev amd64 0.3.2.1-3 [138 kB] Get: 312 http://deb.debian.org/debian trixie/main amd64 libghc-string-interpolate-doc all 0.3.2.1-3 [87.5 kB] Get: 313 http://deb.debian.org/debian trixie/main amd64 libghc-text-conversions-prof amd64 0.3.1.1-2+b1 [31.2 kB] Get: 314 http://deb.debian.org/debian trixie/main amd64 libghc-utf8-string-prof amd64 1.0.2-2+b1 [106 kB] Get: 315 http://deb.debian.org/debian trixie/main amd64 libghc-string-interpolate-prof amd64 0.3.2.1-3 [126 kB] Get: 316 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-dev amd64 1.4.3-2+b2 [361 kB] Get: 317 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-hedgehog-dev amd64 1.4.0.1-1+b2 [50.4 kB] Get: 318 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-prof amd64 1.4.3-2+b2 [340 kB] Get: 319 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-hedgehog-prof amd64 1.4.0.1-1+b2 [44.3 kB] Get: 320 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-hunit-dev amd64 0.10.1-1 [43.7 kB] Get: 321 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-hunit-prof amd64 0.10.1-1 [38.8 kB] Get: 322 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-quickcheck-dev amd64 0.10.2-2+b1 [47.5 kB] Get: 323 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-quickcheck-prof amd64 0.10.2-2+b1 [39.4 kB] Get: 324 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-th-dev amd64 0.1.7-6+b1 [28.8 kB] Get: 325 http://deb.debian.org/debian trixie/main amd64 libghc-tasty-th-prof amd64 0.1.7-6+b1 [25.5 kB] Get: 326 http://deb.debian.org/debian trixie/main amd64 libghc-th-lift-doc all 0.8.4-1 [51.5 kB] Get: 327 http://deb.debian.org/debian trixie/main amd64 libghc-th-orphans-doc all 0.13.14-2 [53.0 kB] Get: 328 http://deb.debian.org/debian trixie/main amd64 libghc-type-errors-dev amd64 0.2.0.2-1+b1 [29.4 kB] Get: 329 http://deb.debian.org/debian trixie/main amd64 libghc-type-errors-doc all 0.2.0.2-1 [59.6 kB] Get: 330 http://deb.debian.org/debian trixie/main amd64 libghc-type-errors-prof amd64 0.2.0.2-1+b1 [26.2 kB] Get: 331 http://deb.debian.org/debian trixie/main amd64 libghc-uniplate-dev amd64 1.6.13-2+b1 [343 kB] Get: 332 http://deb.debian.org/debian trixie/main amd64 libghc-uniplate-doc all 1.6.13-2 [149 kB] Get: 333 http://deb.debian.org/debian trixie/main amd64 libghc-uniplate-prof amd64 1.6.13-2+b1 [337 kB] Get: 334 http://deb.debian.org/debian trixie/main amd64 libghc-vector-doc all 0.13.1.0-1 [553 kB] Get: 335 http://deb.debian.org/debian trixie/main amd64 libghc-th-abstraction-doc all 0.4.5.0-2 [127 kB] Fetched 242 MB in 18s (13.4 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package libgmpxx4ldbl:amd64. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19698 files and directories currently installed.) Preparing to unpack .../00-libgmpxx4ldbl_2%3a6.3.0+dfsg-2+b1_amd64.deb ... Unpacking libgmpxx4ldbl:amd64 (2:6.3.0+dfsg-2+b1) ... Selecting previously unselected package libgmp-dev:amd64. Preparing to unpack .../01-libgmp-dev_2%3a6.3.0+dfsg-2+b1_amd64.deb ... Unpacking libgmp-dev:amd64 (2:6.3.0+dfsg-2+b1) ... Selecting previously unselected package libffi-dev:amd64. Preparing to unpack .../02-libffi-dev_3.4.6-1_amd64.deb ... Unpacking libffi-dev:amd64 (3.4.6-1) ... Selecting previously unselected package libbsd0:amd64. Preparing to unpack .../03-libbsd0_0.12.2-1_amd64.deb ... Unpacking libbsd0:amd64 (0.12.2-1) ... Selecting previously unselected package libmd-dev:amd64. Preparing to unpack .../04-libmd-dev_1.1.0-2_amd64.deb ... Unpacking libmd-dev:amd64 (1.1.0-2) ... Selecting previously unselected package libbsd-dev:amd64. Preparing to unpack .../05-libbsd-dev_0.12.2-1_amd64.deb ... Unpacking libbsd-dev:amd64 (0.12.2-1) ... Selecting previously unselected package libncurses6:amd64. Preparing to unpack .../06-libncurses6_6.4+20240414-1_amd64.deb ... Unpacking libncurses6:amd64 (6.4+20240414-1) ... Selecting previously unselected package libncurses-dev:amd64. Preparing to unpack .../07-libncurses-dev_6.4+20240414-1_amd64.deb ... Unpacking libncurses-dev:amd64 (6.4+20240414-1) ... Selecting previously unselected package ghc. Preparing to unpack .../08-ghc_9.4.7-5_amd64.deb ... Unpacking ghc (9.4.7-5) ... Selecting previously unselected package fonts-mathjax. Preparing to unpack .../09-fonts-mathjax_2.7.9+dfsg-1_all.deb ... Unpacking fonts-mathjax (2.7.9+dfsg-1) ... Selecting previously unselected package libjs-mathjax. Preparing to unpack .../10-libjs-mathjax_2.7.9+dfsg-1_all.deb ... Unpacking libjs-mathjax (2.7.9+dfsg-1) ... Selecting previously unselected package ghc-doc. Preparing to unpack .../11-ghc-doc_9.4.7-5_all.deb ... Unpacking ghc-doc (9.4.7-5) ... Selecting previously unselected package libpython3.11-minimal:amd64. Preparing to unpack .../12-libpython3.11-minimal_3.11.9-1_amd64.deb ... Unpacking libpython3.11-minimal:amd64 (3.11.9-1) ... Selecting previously unselected package libexpat1:amd64. Preparing to unpack .../13-libexpat1_2.6.2-1_amd64.deb ... Unpacking libexpat1:amd64 (2.6.2-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../14-python3.11-minimal_3.11.9-1_amd64.deb ... Unpacking python3.11-minimal (3.11.9-1) ... Setting up libpython3.11-minimal:amd64 (3.11.9-1) ... Setting up libexpat1:amd64 (2.6.2-1) ... Setting up python3.11-minimal (3.11.9-1) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 33603 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.11.8-1_amd64.deb ... Unpacking python3-minimal (3.11.8-1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.1.0_all.deb ... Unpacking media-types (10.1.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.4_all.deb ... Unpacking netbase (6.4) ... Selecting previously unselected package tzdata. Preparing to unpack .../3-tzdata_2024a-3_all.deb ... Unpacking tzdata (2024a-3) ... Selecting previously unselected package readline-common. Preparing to unpack .../4-readline-common_8.2-4_all.deb ... Unpacking readline-common (8.2-4) ... Selecting previously unselected package libreadline8t64:amd64. Preparing to unpack .../5-libreadline8t64_8.2-4_amd64.deb ... Adding 'diversion of /lib/x86_64-linux-gnu/libhistory.so.8 to /lib/x86_64-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/x86_64-linux-gnu/libhistory.so.8.2 to /lib/x86_64-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/x86_64-linux-gnu/libreadline.so.8 to /lib/x86_64-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/x86_64-linux-gnu/libreadline.so.8.2 to /lib/x86_64-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:amd64 (8.2-4) ... Selecting previously unselected package libpython3.11-stdlib:amd64. Preparing to unpack .../6-libpython3.11-stdlib_3.11.9-1_amd64.deb ... Unpacking libpython3.11-stdlib:amd64 (3.11.9-1) ... Selecting previously unselected package python3.11. Preparing to unpack .../7-python3.11_3.11.9-1_amd64.deb ... Unpacking python3.11 (3.11.9-1) ... Selecting previously unselected package libpython3-stdlib:amd64. Preparing to unpack .../8-libpython3-stdlib_3.11.8-1_amd64.deb ... Unpacking libpython3-stdlib:amd64 (3.11.8-1) ... Setting up python3-minimal (3.11.8-1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 34595 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.8-1_amd64.deb ... Unpacking python3 (3.11.8-1) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../001-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../002-libmagic-mgc_1%3a5.45-3_amd64.deb ... Unpacking libmagic-mgc (1:5.45-3) ... Selecting previously unselected package libmagic1t64:amd64. Preparing to unpack .../003-libmagic1t64_1%3a5.45-3_amd64.deb ... Unpacking libmagic1t64:amd64 (1:5.45-3) ... Selecting previously unselected package file. Preparing to unpack .../004-file_1%3a5.45-3_amd64.deb ... Unpacking file (1:5.45-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../005-gettext-base_0.21-14+b1_amd64.deb ... Unpacking gettext-base (0.21-14+b1) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../006-libuchardet0_0.0.8-1+b1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.8-1+b1) ... Selecting previously unselected package groff-base. Preparing to unpack .../007-groff-base_1.23.0-4_amd64.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../008-bsdextrautils_2.40-8_amd64.deb ... Unpacking bsdextrautils (2.40-8) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../009-libpipeline1_1.5.7-2_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-2) ... Selecting previously unselected package man-db. Preparing to unpack .../010-man-db_2.12.1-1_amd64.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package m4. Preparing to unpack .../011-m4_1.4.19-4_amd64.deb ... Unpacking m4 (1.4.19-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../012-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../013-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../014-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../015-autopoint_0.21-14_all.deb ... Unpacking autopoint (0.21-14) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../016-python3-pkg-resources_68.1.2-2_all.deb ... Unpacking python3-pkg-resources (68.1.2-2) ... Selecting previously unselected package python3-scour. Preparing to unpack .../017-python3-scour_0.38.2-4.1_all.deb ... Unpacking python3-scour (0.38.2-4.1) ... Selecting previously unselected package scour. Preparing to unpack .../018-scour_0.38.2-4.1_all.deb ... Unpacking scour (0.38.2-4.1) ... Selecting previously unselected package cdbs. Preparing to unpack .../019-cdbs_0.4.166_all.deb ... Unpacking cdbs (0.4.166) ... Selecting previously unselected package dctrl-tools. Preparing to unpack .../020-dctrl-tools_2.24-3+b1_amd64.deb ... Unpacking dctrl-tools (2.24-3+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../021-libdebhelper-perl_13.15.3_all.deb ... Unpacking libdebhelper-perl (13.15.3) ... Selecting previously unselected package libtool. Preparing to unpack .../022-libtool_2.4.7-7_all.deb ... Unpacking libtool (2.4.7-7) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../023-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../024-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../025-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../026-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../027-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1t64:amd64. Preparing to unpack .../028-libelf1t64_0.191-1+b1_amd64.deb ... Unpacking libelf1t64:amd64 (0.191-1+b1) ... Selecting previously unselected package dwz. Preparing to unpack .../029-dwz_0.15-1+b1_amd64.deb ... Unpacking dwz (0.15-1+b1) ... Selecting previously unselected package libicu72:amd64. Preparing to unpack .../030-libicu72_72.1-4+b1_amd64.deb ... Unpacking libicu72:amd64 (72.1-4+b1) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../031-libxml2_2.9.14+dfsg-1.3+b3_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Selecting previously unselected package gettext. Preparing to unpack .../032-gettext_0.21-14+b1_amd64.deb ... Unpacking gettext (0.21-14+b1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../033-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../034-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../035-debhelper_13.15.3_all.deb ... Unpacking debhelper (13.15.3) ... Selecting previously unselected package dh-buildinfo. Preparing to unpack .../036-dh-buildinfo_0.11+nmu3_all.deb ... Unpacking dh-buildinfo (0.11+nmu3) ... Selecting previously unselected package ghc-prof. Preparing to unpack .../037-ghc-prof_9.4.7-5_amd64.deb ... Unpacking ghc-prof (9.4.7-5) ... Selecting previously unselected package libbrotli1:amd64. Preparing to unpack .../038-libbrotli1_1.1.0-2+b3_amd64.deb ... Unpacking libbrotli1:amd64 (1.1.0-2+b3) ... Selecting previously unselected package libkrb5support0:amd64. Preparing to unpack .../039-libkrb5support0_1.20.1-6+b1_amd64.deb ... Unpacking libkrb5support0:amd64 (1.20.1-6+b1) ... Selecting previously unselected package libcom-err2:amd64. Preparing to unpack .../040-libcom-err2_1.47.1~rc2-1_amd64.deb ... Unpacking libcom-err2:amd64 (1.47.1~rc2-1) ... Selecting previously unselected package libk5crypto3:amd64. Preparing to unpack .../041-libk5crypto3_1.20.1-6+b1_amd64.deb ... Unpacking libk5crypto3:amd64 (1.20.1-6+b1) ... Selecting previously unselected package libkeyutils1:amd64. Preparing to unpack .../042-libkeyutils1_1.6.3-3_amd64.deb ... Unpacking libkeyutils1:amd64 (1.6.3-3) ... Selecting previously unselected package libkrb5-3:amd64. Preparing to unpack .../043-libkrb5-3_1.20.1-6+b1_amd64.deb ... Unpacking libkrb5-3:amd64 (1.20.1-6+b1) ... Selecting previously unselected package libgssapi-krb5-2:amd64. Preparing to unpack .../044-libgssapi-krb5-2_1.20.1-6+b1_amd64.deb ... Unpacking libgssapi-krb5-2:amd64 (1.20.1-6+b1) ... Selecting previously unselected package libsasl2-modules-db:amd64. Preparing to unpack .../045-libsasl2-modules-db_2.1.28+dfsg1-6_amd64.deb ... Unpacking libsasl2-modules-db:amd64 (2.1.28+dfsg1-6) ... Selecting previously unselected package libsasl2-2:amd64. Preparing to unpack .../046-libsasl2-2_2.1.28+dfsg1-6_amd64.deb ... Unpacking libsasl2-2:amd64 (2.1.28+dfsg1-6) ... Selecting previously unselected package libldap-2.5-0:amd64. Preparing to unpack .../047-libldap-2.5-0_2.5.17+dfsg-1_amd64.deb ... Unpacking libldap-2.5-0:amd64 (2.5.17+dfsg-1) ... Selecting previously unselected package libnghttp2-14:amd64. Preparing to unpack .../048-libnghttp2-14_1.61.0-1+b1_amd64.deb ... Unpacking libnghttp2-14:amd64 (1.61.0-1+b1) ... Selecting previously unselected package libpsl5t64:amd64. Preparing to unpack .../049-libpsl5t64_0.21.2-1.1_amd64.deb ... Unpacking libpsl5t64:amd64 (0.21.2-1.1) ... Selecting previously unselected package librtmp1:amd64. Preparing to unpack .../050-librtmp1_2.4+20151223.gitfa8646d.1-2+b4_amd64.deb ... Unpacking librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b4) ... Selecting previously unselected package libssh2-1t64:amd64. Preparing to unpack .../051-libssh2-1t64_1.11.0-4.1+b2_amd64.deb ... Unpacking libssh2-1t64:amd64 (1.11.0-4.1+b2) ... Selecting previously unselected package libcurl3t64-gnutls:amd64. Preparing to unpack .../052-libcurl3t64-gnutls_8.7.1-3_amd64.deb ... Unpacking libcurl3t64-gnutls:amd64 (8.7.1-3) ... Selecting previously unselected package html-xml-utils. Preparing to unpack .../053-html-xml-utils_7.7-1.1+b1_amd64.deb ... Unpacking html-xml-utils (7.7-1.1+b1) ... Selecting previously unselected package libparams-util-perl. Preparing to unpack .../054-libparams-util-perl_1.102-3_amd64.deb ... Unpacking libparams-util-perl (1.102-3) ... Selecting previously unselected package libsub-install-perl. Preparing to unpack .../055-libsub-install-perl_0.929-1_all.deb ... Unpacking libsub-install-perl (0.929-1) ... Selecting previously unselected package libdata-optlist-perl. Preparing to unpack .../056-libdata-optlist-perl_0.114-1_all.deb ... Unpacking libdata-optlist-perl (0.114-1) ... Selecting previously unselected package libsub-exporter-perl. Preparing to unpack .../057-libsub-exporter-perl_0.990-1_all.deb ... Unpacking libsub-exporter-perl (0.990-1) ... Selecting previously unselected package libsub-exporter-progressive-perl. Preparing to unpack .../058-libsub-exporter-progressive-perl_0.001013-3_all.deb ... Unpacking libsub-exporter-progressive-perl (0.001013-3) ... Selecting previously unselected package libconst-fast-perl. Preparing to unpack .../059-libconst-fast-perl_0.014-2_all.deb ... Unpacking libconst-fast-perl (0.014-2) ... Selecting previously unselected package libdevel-confess-perl. Preparing to unpack .../060-libdevel-confess-perl_0.009004-3_all.deb ... Unpacking libdevel-confess-perl (0.009004-3) ... Selecting previously unselected package libipc-run3-perl. Preparing to unpack .../061-libipc-run3-perl_0.049-1_all.deb ... Unpacking libipc-run3-perl (0.049-1) ... Selecting previously unselected package libb-hooks-op-check-perl:amd64. Preparing to unpack .../062-libb-hooks-op-check-perl_0.22-3+b1_amd64.deb ... Unpacking libb-hooks-op-check-perl:amd64 (0.22-3+b1) ... Selecting previously unselected package libdynaloader-functions-perl. Preparing to unpack .../063-libdynaloader-functions-perl_0.003-3_all.deb ... Unpacking libdynaloader-functions-perl (0.003-3) ... Selecting previously unselected package libdevel-callchecker-perl:amd64. Preparing to unpack .../064-libdevel-callchecker-perl_0.009-1_amd64.deb ... Unpacking libdevel-callchecker-perl:amd64 (0.009-1) ... Selecting previously unselected package libparams-classify-perl:amd64. Preparing to unpack .../065-libparams-classify-perl_0.015-2+b3_amd64.deb ... Unpacking libparams-classify-perl:amd64 (0.015-2+b3) ... Selecting previously unselected package libmodule-runtime-perl. Preparing to unpack .../066-libmodule-runtime-perl_0.016-2_all.deb ... Unpacking libmodule-runtime-perl (0.016-2) ... Selecting previously unselected package libtry-tiny-perl. Preparing to unpack .../067-libtry-tiny-perl_0.31-2_all.deb ... Unpacking libtry-tiny-perl (0.31-2) ... Selecting previously unselected package libmodule-implementation-perl. Preparing to unpack .../068-libmodule-implementation-perl_0.09-2_all.deb ... Unpacking libmodule-implementation-perl (0.09-2) ... Selecting previously unselected package liblist-someutils-perl. Preparing to unpack .../069-liblist-someutils-perl_0.59-1_all.deb ... Unpacking liblist-someutils-perl (0.59-1) ... Selecting previously unselected package libpath-tiny-perl. Preparing to unpack .../070-libpath-tiny-perl_0.144-1_all.deb ... Unpacking libpath-tiny-perl (0.144-1) ... Selecting previously unselected package libtimedate-perl. Preparing to unpack .../071-libtimedate-perl_2.3300-2_all.deb ... Unpacking libtimedate-perl (2.3300-2) ... Selecting previously unselected package libunicode-utf8-perl. Preparing to unpack .../072-libunicode-utf8-perl_0.62-2+b2_amd64.deb ... Unpacking libunicode-utf8-perl (0.62-2+b2) ... Selecting previously unselected package haskell-devscripts-minimal. Preparing to unpack .../073-haskell-devscripts-minimal_0.16.33_all.deb ... Unpacking haskell-devscripts-minimal (0.16.33) ... Selecting previously unselected package libghc-base-orphans-dev. Preparing to unpack .../074-libghc-base-orphans-dev_0.9.1-1_amd64.deb ... Unpacking libghc-base-orphans-dev (0.9.1-1) ... Selecting previously unselected package libghc-tagged-dev. Preparing to unpack .../075-libghc-tagged-dev_0.8.7-1+b1_amd64.deb ... Unpacking libghc-tagged-dev (0.8.7-1+b1) ... Selecting previously unselected package libghc-distributive-dev. Preparing to unpack .../076-libghc-distributive-dev_0.6.2.1-2+b1_amd64.deb ... Unpacking libghc-distributive-dev (0.6.2.1-2+b1) ... Selecting previously unselected package libghc-foldable1-classes-compat-dev. Preparing to unpack .../077-libghc-foldable1-classes-compat-dev_0.1-2+b1_amd64.deb ... Unpacking libghc-foldable1-classes-compat-dev (0.1-2+b1) ... Selecting previously unselected package libghc-indexed-traversable-dev. Preparing to unpack .../078-libghc-indexed-traversable-dev_0.1.3-1_amd64.deb ... Unpacking libghc-indexed-traversable-dev (0.1.3-1) ... Selecting previously unselected package libghc-transformers-compat-dev. Preparing to unpack .../079-libghc-transformers-compat-dev_0.7.2-1+b1_amd64.deb ... Unpacking libghc-transformers-compat-dev (0.7.2-1+b1) ... Selecting previously unselected package libghc-comonad-dev. Preparing to unpack .../080-libghc-comonad-dev_5.0.8-2+b1_amd64.deb ... Unpacking libghc-comonad-dev (5.0.8-2+b1) ... Selecting previously unselected package libghc-statevar-dev. Preparing to unpack .../081-libghc-statevar-dev_1.2.2-2+b1_amd64.deb ... Unpacking libghc-statevar-dev (1.2.2-2+b1) ... Selecting previously unselected package libghc-contravariant-dev. Preparing to unpack .../082-libghc-contravariant-dev_1.5.5-2+b1_amd64.deb ... Unpacking libghc-contravariant-dev (1.5.5-2+b1) ... Selecting previously unselected package libghc-th-abstraction-dev. Preparing to unpack .../083-libghc-th-abstraction-dev_0.4.5.0-2+b1_amd64.deb ... Unpacking libghc-th-abstraction-dev (0.4.5.0-2+b1) ... Selecting previously unselected package libghc-bifunctors-dev. Preparing to unpack .../084-libghc-bifunctors-dev_5.5.15-1+b1_amd64.deb ... Unpacking libghc-bifunctors-dev (5.5.15-1+b1) ... Selecting previously unselected package libghc-profunctors-dev. Preparing to unpack .../085-libghc-profunctors-dev_5.6.2-2+b1_amd64.deb ... Unpacking libghc-profunctors-dev (5.6.2-2+b1) ... Selecting previously unselected package libghc-hashable-dev. Preparing to unpack .../086-libghc-hashable-dev_1.4.3.0-1+b1_amd64.deb ... Unpacking libghc-hashable-dev (1.4.3.0-1+b1) ... Selecting previously unselected package libghc-unordered-containers-dev. Preparing to unpack .../087-libghc-unordered-containers-dev_0.2.19.1-2+b1_amd64.deb ... Unpacking libghc-unordered-containers-dev (0.2.19.1-2+b1) ... Selecting previously unselected package libghc-semigroupoids-dev. Preparing to unpack .../088-libghc-semigroupoids-dev_5.3.7-2+b1_amd64.deb ... Unpacking libghc-semigroupoids-dev (5.3.7-2+b1) ... Selecting previously unselected package libghc-transformers-base-dev. Preparing to unpack .../089-libghc-transformers-base-dev_0.4.6-2+b1_amd64.deb ... Unpacking libghc-transformers-base-dev (0.4.6-2+b1) ... Selecting previously unselected package libghc-free-dev. Preparing to unpack .../090-libghc-free-dev_5.1.10-1+b1_amd64.deb ... Unpacking libghc-free-dev (5.1.10-1+b1) ... Selecting previously unselected package libghc-semigroups-dev. Preparing to unpack .../091-libghc-semigroups-dev_0.20-2+b1_amd64.deb ... Unpacking libghc-semigroups-dev (0.20-2+b1) ... Selecting previously unselected package libghc-void-dev. Preparing to unpack .../092-libghc-void-dev_0.7.3-4+b1_amd64.deb ... Unpacking libghc-void-dev (0.7.3-4+b1) ... Selecting previously unselected package libghc-adjunctions-dev. Preparing to unpack .../093-libghc-adjunctions-dev_4.4.2-2+b1_amd64.deb ... Unpacking libghc-adjunctions-dev (4.4.2-2+b1) ... Selecting previously unselected package libghc-base-orphans-prof. Preparing to unpack .../094-libghc-base-orphans-prof_0.9.1-1_amd64.deb ... Unpacking libghc-base-orphans-prof (0.9.1-1) ... Selecting previously unselected package libghc-tagged-prof. Preparing to unpack .../095-libghc-tagged-prof_0.8.7-1+b1_amd64.deb ... Unpacking libghc-tagged-prof (0.8.7-1+b1) ... Selecting previously unselected package libghc-distributive-prof. Preparing to unpack .../096-libghc-distributive-prof_0.6.2.1-2+b1_amd64.deb ... Unpacking libghc-distributive-prof (0.6.2.1-2+b1) ... Selecting previously unselected package libghc-foldable1-classes-compat-prof. Preparing to unpack .../097-libghc-foldable1-classes-compat-prof_0.1-2+b1_amd64.deb ... Unpacking libghc-foldable1-classes-compat-prof (0.1-2+b1) ... Selecting previously unselected package libghc-indexed-traversable-prof. Preparing to unpack .../098-libghc-indexed-traversable-prof_0.1.3-1_amd64.deb ... Unpacking libghc-indexed-traversable-prof (0.1.3-1) ... Selecting previously unselected package libghc-transformers-compat-prof. Preparing to unpack .../099-libghc-transformers-compat-prof_0.7.2-1+b1_amd64.deb ... Unpacking libghc-transformers-compat-prof (0.7.2-1+b1) ... Selecting previously unselected package libghc-comonad-prof. Preparing to unpack .../100-libghc-comonad-prof_5.0.8-2+b1_amd64.deb ... Unpacking libghc-comonad-prof (5.0.8-2+b1) ... Selecting previously unselected package libghc-statevar-prof. Preparing to unpack .../101-libghc-statevar-prof_1.2.2-2+b1_amd64.deb ... Unpacking libghc-statevar-prof (1.2.2-2+b1) ... Selecting previously unselected package libghc-contravariant-prof. Preparing to unpack .../102-libghc-contravariant-prof_1.5.5-2+b1_amd64.deb ... Unpacking libghc-contravariant-prof (1.5.5-2+b1) ... Selecting previously unselected package libghc-th-abstraction-prof. Preparing to unpack .../103-libghc-th-abstraction-prof_0.4.5.0-2+b1_amd64.deb ... Unpacking libghc-th-abstraction-prof (0.4.5.0-2+b1) ... Selecting previously unselected package libghc-bifunctors-prof. Preparing to unpack .../104-libghc-bifunctors-prof_5.5.15-1+b1_amd64.deb ... Unpacking libghc-bifunctors-prof (5.5.15-1+b1) ... Selecting previously unselected package libghc-profunctors-prof. Preparing to unpack .../105-libghc-profunctors-prof_5.6.2-2+b1_amd64.deb ... Unpacking libghc-profunctors-prof (5.6.2-2+b1) ... Selecting previously unselected package libghc-hashable-prof. Preparing to unpack .../106-libghc-hashable-prof_1.4.3.0-1+b1_amd64.deb ... Unpacking libghc-hashable-prof (1.4.3.0-1+b1) ... Selecting previously unselected package libghc-unordered-containers-prof. Preparing to unpack .../107-libghc-unordered-containers-prof_0.2.19.1-2+b1_amd64.deb ... Unpacking libghc-unordered-containers-prof (0.2.19.1-2+b1) ... Selecting previously unselected package libghc-semigroupoids-prof. Preparing to unpack .../108-libghc-semigroupoids-prof_5.3.7-2+b1_amd64.deb ... Unpacking libghc-semigroupoids-prof (5.3.7-2+b1) ... Selecting previously unselected package libghc-transformers-base-prof. Preparing to unpack .../109-libghc-transformers-base-prof_0.4.6-2+b1_amd64.deb ... Unpacking libghc-transformers-base-prof (0.4.6-2+b1) ... Selecting previously unselected package libghc-free-prof. Preparing to unpack .../110-libghc-free-prof_5.1.10-1+b1_amd64.deb ... Unpacking libghc-free-prof (5.1.10-1+b1) ... Selecting previously unselected package libghc-semigroups-prof. Preparing to unpack .../111-libghc-semigroups-prof_0.20-2+b1_amd64.deb ... Unpacking libghc-semigroups-prof (0.20-2+b1) ... Selecting previously unselected package libghc-void-prof. Preparing to unpack .../112-libghc-void-prof_0.7.3-4+b1_amd64.deb ... Unpacking libghc-void-prof (0.7.3-4+b1) ... Selecting previously unselected package libghc-adjunctions-prof. Preparing to unpack .../113-libghc-adjunctions-prof_4.4.2-2+b1_amd64.deb ... Unpacking libghc-adjunctions-prof (4.4.2-2+b1) ... Selecting previously unselected package libghc-colour-dev. Preparing to unpack .../114-libghc-colour-dev_2.3.6-2+b1_amd64.deb ... Unpacking libghc-colour-dev (2.3.6-2+b1) ... Selecting previously unselected package libghc-ansi-terminal-types-dev. Preparing to unpack .../115-libghc-ansi-terminal-types-dev_0.11.5-2+b1_amd64.deb ... Unpacking libghc-ansi-terminal-types-dev (0.11.5-2+b1) ... Selecting previously unselected package libghc-ansi-terminal-dev. Preparing to unpack .../116-libghc-ansi-terminal-dev_0.11.5-1+b1_amd64.deb ... Unpacking libghc-ansi-terminal-dev (0.11.5-1+b1) ... Selecting previously unselected package libghc-colour-prof. Preparing to unpack .../117-libghc-colour-prof_2.3.6-2+b1_amd64.deb ... Unpacking libghc-colour-prof (2.3.6-2+b1) ... Selecting previously unselected package libghc-ansi-terminal-types-prof. Preparing to unpack .../118-libghc-ansi-terminal-types-prof_0.11.5-2+b1_amd64.deb ... Unpacking libghc-ansi-terminal-types-prof (0.11.5-2+b1) ... Selecting previously unselected package libghc-ansi-terminal-prof. Preparing to unpack .../119-libghc-ansi-terminal-prof_0.11.5-1+b1_amd64.deb ... Unpacking libghc-ansi-terminal-prof (0.11.5-1+b1) ... Selecting previously unselected package libghc-ansi-wl-pprint-dev. Preparing to unpack .../120-libghc-ansi-wl-pprint-dev_0.6.9-6+b1_amd64.deb ... Unpacking libghc-ansi-wl-pprint-dev (0.6.9-6+b1) ... Selecting previously unselected package libghc-ansi-wl-pprint-prof. Preparing to unpack .../121-libghc-ansi-wl-pprint-prof_0.6.9-6+b1_amd64.deb ... Unpacking libghc-ansi-wl-pprint-prof (0.6.9-6+b1) ... Selecting previously unselected package libghc-lazysmallcheck-dev. Preparing to unpack .../122-libghc-lazysmallcheck-dev_0.6-14+b1_amd64.deb ... Unpacking libghc-lazysmallcheck-dev (0.6-14+b1) ... Selecting previously unselected package libghc-splitmix-dev. Preparing to unpack .../123-libghc-splitmix-dev_0.1.0.5-1_amd64.deb ... Unpacking libghc-splitmix-dev (0.1.0.5-1) ... Selecting previously unselected package libghc-random-dev. Preparing to unpack .../124-libghc-random-dev_1.2.1.1-3+b1_amd64.deb ... Unpacking libghc-random-dev (1.2.1.1-3+b1) ... Selecting previously unselected package libghc-quickcheck2-dev. Preparing to unpack .../125-libghc-quickcheck2-dev_2.14.3-1+b1_amd64.deb ... Unpacking libghc-quickcheck2-dev (2.14.3-1+b1) ... Selecting previously unselected package libghc-stream-dev. Preparing to unpack .../126-libghc-stream-dev_0.4.7.2-9+b1_amd64.deb ... Unpacking libghc-stream-dev (0.4.7.2-9+b1) ... Selecting previously unselected package libghc-arrows-dev. Preparing to unpack .../127-libghc-arrows-dev_0.4.4.2-5+b1_amd64.deb ... Unpacking libghc-arrows-dev (0.4.4.2-5+b1) ... Selecting previously unselected package libghc-arrows-doc. Preparing to unpack .../128-libghc-arrows-doc_0.4.4.2-5_all.deb ... Unpacking libghc-arrows-doc (0.4.4.2-5) ... Selecting previously unselected package libghc-lazysmallcheck-prof. Preparing to unpack .../129-libghc-lazysmallcheck-prof_0.6-14+b1_amd64.deb ... Unpacking libghc-lazysmallcheck-prof (0.6-14+b1) ... Selecting previously unselected package libghc-splitmix-prof. Preparing to unpack .../130-libghc-splitmix-prof_0.1.0.5-1_amd64.deb ... Unpacking libghc-splitmix-prof (0.1.0.5-1) ... Selecting previously unselected package libghc-random-prof. Preparing to unpack .../131-libghc-random-prof_1.2.1.1-3+b1_amd64.deb ... Unpacking libghc-random-prof (1.2.1.1-3+b1) ... Selecting previously unselected package libghc-quickcheck2-prof. Preparing to unpack .../132-libghc-quickcheck2-prof_2.14.3-1+b1_amd64.deb ... Unpacking libghc-quickcheck2-prof (2.14.3-1+b1) ... Selecting previously unselected package libghc-stream-prof. Preparing to unpack .../133-libghc-stream-prof_0.4.7.2-9+b1_amd64.deb ... Unpacking libghc-stream-prof (0.4.7.2-9+b1) ... Selecting previously unselected package libghc-arrows-prof. Preparing to unpack .../134-libghc-arrows-prof_0.4.4.2-5+b1_amd64.deb ... Unpacking libghc-arrows-prof (0.4.4.2-5+b1) ... Selecting previously unselected package libghc-assoc-dev. Preparing to unpack .../135-libghc-assoc-dev_1.1-1+b1_amd64.deb ... Unpacking libghc-assoc-dev (1.1-1+b1) ... Selecting previously unselected package libghc-assoc-prof. Preparing to unpack .../136-libghc-assoc-prof_1.1-1+b1_amd64.deb ... Unpacking libghc-assoc-prof (1.1-1+b1) ... Selecting previously unselected package libghc-async-dev. Preparing to unpack .../137-libghc-async-dev_2.2.4-4+b1_amd64.deb ... Unpacking libghc-async-dev (2.2.4-4+b1) ... Selecting previously unselected package libghc-async-prof. Preparing to unpack .../138-libghc-async-prof_2.2.4-4+b1_amd64.deb ... Unpacking libghc-async-prof (2.2.4-4+b1) ... Selecting previously unselected package libghc-barbies-dev. Preparing to unpack .../139-libghc-barbies-dev_2.0.4.0-3+b1_amd64.deb ... Unpacking libghc-barbies-dev (2.0.4.0-3+b1) ... Selecting previously unselected package libghc-barbies-prof. Preparing to unpack .../140-libghc-barbies-prof_2.0.4.0-3+b1_amd64.deb ... Unpacking libghc-barbies-prof (2.0.4.0-3+b1) ... Selecting previously unselected package libghc-base-compat-dev. Preparing to unpack .../141-libghc-base-compat-dev_0.12.3-1+b2_amd64.deb ... Unpacking libghc-base-compat-dev (0.12.3-1+b2) ... Selecting previously unselected package libghc-base-compat-prof. Preparing to unpack .../142-libghc-base-compat-prof_0.12.3-1+b2_amd64.deb ... Unpacking libghc-base-compat-prof (0.12.3-1+b2) ... Selecting previously unselected package libghc-base16-bytestring-dev. Preparing to unpack .../143-libghc-base16-bytestring-dev_1.0.2.0-2+b1_amd64.deb ... Unpacking libghc-base16-bytestring-dev (1.0.2.0-2+b1) ... Selecting previously unselected package libghc-base16-bytestring-prof. Preparing to unpack .../144-libghc-base16-bytestring-prof_1.0.2.0-2+b1_amd64.deb ... Unpacking libghc-base16-bytestring-prof (1.0.2.0-2+b1) ... Selecting previously unselected package libghc-base64-bytestring-dev. Preparing to unpack .../145-libghc-base64-bytestring-dev_1.2.1.0-2+b1_amd64.deb ... Unpacking libghc-base64-bytestring-dev (1.2.1.0-2+b1) ... Selecting previously unselected package libghc-base64-bytestring-prof. Preparing to unpack .../146-libghc-base64-bytestring-prof_1.2.1.0-2+b1_amd64.deb ... Unpacking libghc-base64-bytestring-prof (1.2.1.0-2+b1) ... Selecting previously unselected package libghc-call-stack-dev. Preparing to unpack .../147-libghc-call-stack-dev_0.4.0-2+b1_amd64.deb ... Unpacking libghc-call-stack-dev (0.4.0-2+b1) ... Selecting previously unselected package libghc-call-stack-prof. Preparing to unpack .../148-libghc-call-stack-prof_0.4.0-2+b1_amd64.deb ... Unpacking libghc-call-stack-prof (0.4.0-2+b1) ... Selecting previously unselected package libghc-clock-dev. Preparing to unpack .../149-libghc-clock-dev_0.8.4-1+b1_amd64.deb ... Unpacking libghc-clock-dev (0.8.4-1+b1) ... Selecting previously unselected package libghc-clock-prof. Preparing to unpack .../150-libghc-clock-prof_0.8.4-1+b1_amd64.deb ... Unpacking libghc-clock-prof (0.8.4-1+b1) ... Selecting previously unselected package libghc-code-page-dev. Preparing to unpack .../151-libghc-code-page-dev_0.2.1-2+b1_amd64.deb ... Unpacking libghc-code-page-dev (0.2.1-2+b1) ... Selecting previously unselected package libghc-code-page-prof. Preparing to unpack .../152-libghc-code-page-prof_0.2.1-2+b1_amd64.deb ... Unpacking libghc-code-page-prof (0.2.1-2+b1) ... Selecting previously unselected package libghc-terminal-size-dev. Preparing to unpack .../153-libghc-terminal-size-dev_0.3.4-1+b1_amd64.deb ... Unpacking libghc-terminal-size-dev (0.3.4-1+b1) ... Selecting previously unselected package libghc-concurrent-output-dev. Preparing to unpack .../154-libghc-concurrent-output-dev_1.10.20-1+b1_amd64.deb ... Unpacking libghc-concurrent-output-dev (1.10.20-1+b1) ... Selecting previously unselected package libghc-terminal-size-prof. Preparing to unpack .../155-libghc-terminal-size-prof_0.3.4-1+b1_amd64.deb ... Unpacking libghc-terminal-size-prof (0.3.4-1+b1) ... Selecting previously unselected package libghc-concurrent-output-prof. Preparing to unpack .../156-libghc-concurrent-output-prof_1.10.20-1+b1_amd64.deb ... Unpacking libghc-concurrent-output-prof (1.10.20-1+b1) ... Selecting previously unselected package libghc-type-equality-dev. Preparing to unpack .../157-libghc-type-equality-dev_1-4+b1_amd64.deb ... Unpacking libghc-type-equality-dev (1-4+b1) ... Selecting previously unselected package libghc-constraints-dev. Preparing to unpack .../158-libghc-constraints-dev_0.13.4-2+b1_amd64.deb ... Unpacking libghc-constraints-dev (0.13.4-2+b1) ... Selecting previously unselected package libghc-constraints-doc. Preparing to unpack .../159-libghc-constraints-doc_0.13.4-2_all.deb ... Unpacking libghc-constraints-doc (0.13.4-2) ... Selecting previously unselected package libghc-type-equality-prof. Preparing to unpack .../160-libghc-type-equality-prof_1-4+b1_amd64.deb ... Unpacking libghc-type-equality-prof (1-4+b1) ... Selecting previously unselected package libghc-constraints-prof. Preparing to unpack .../161-libghc-constraints-prof_0.13.4-2+b1_amd64.deb ... Unpacking libghc-constraints-prof (0.13.4-2+b1) ... Selecting previously unselected package libghc-data-binary-ieee754-dev. Preparing to unpack .../162-libghc-data-binary-ieee754-dev_0.4.4-12+b1_amd64.deb ... Unpacking libghc-data-binary-ieee754-dev (0.4.4-12+b1) ... Selecting previously unselected package libghc-data-binary-ieee754-doc. Preparing to unpack .../163-libghc-data-binary-ieee754-doc_0.4.4-12_all.deb ... Unpacking libghc-data-binary-ieee754-doc (0.4.4-12) ... Selecting previously unselected package libghc-data-binary-ieee754-prof. Preparing to unpack .../164-libghc-data-binary-ieee754-prof_0.4.4-12+b1_amd64.deb ... Unpacking libghc-data-binary-ieee754-prof (0.4.4-12+b1) ... Selecting previously unselected package libghc-data-default-class-dev. Preparing to unpack .../165-libghc-data-default-class-dev_0.1.2.0-7+b1_amd64.deb ... Unpacking libghc-data-default-class-dev (0.1.2.0-7+b1) ... Selecting previously unselected package libghc-data-default-class-doc. Preparing to unpack .../166-libghc-data-default-class-doc_0.1.2.0-7_all.deb ... Unpacking libghc-data-default-class-doc (0.1.2.0-7) ... Selecting previously unselected package libghc-data-default-class-prof. Preparing to unpack .../167-libghc-data-default-class-prof_0.1.2.0-7+b1_amd64.deb ... Unpacking libghc-data-default-class-prof (0.1.2.0-7+b1) ... Selecting previously unselected package libghc-data-fix-dev. Preparing to unpack .../168-libghc-data-fix-dev_0.3.2-2+b1_amd64.deb ... Unpacking libghc-data-fix-dev (0.3.2-2+b1) ... Selecting previously unselected package libghc-data-fix-prof. Preparing to unpack .../169-libghc-data-fix-prof_0.3.2-2+b1_amd64.deb ... Unpacking libghc-data-fix-prof (0.3.2-2+b1) ... Selecting previously unselected package libghc-dlist-dev. Preparing to unpack .../170-libghc-dlist-dev_1.0-3+b1_amd64.deb ... Unpacking libghc-dlist-dev (1.0-3+b1) ... Selecting previously unselected package libghc-dlist-prof. Preparing to unpack .../171-libghc-dlist-prof_1.0-3+b1_amd64.deb ... Unpacking libghc-dlist-prof (1.0-3+b1) ... Selecting previously unselected package libghc-ghc-paths-dev. Preparing to unpack .../172-libghc-ghc-paths-dev_0.1.0.12-3+b1_amd64.deb ... Unpacking libghc-ghc-paths-dev (0.1.0.12-3+b1) ... Selecting previously unselected package libghc-glob-dev. Preparing to unpack .../173-libghc-glob-dev_0.10.2-2+b2_amd64.deb ... Unpacking libghc-glob-dev (0.10.2-2+b2) ... Selecting previously unselected package libghc-syb-dev. Preparing to unpack .../174-libghc-syb-dev_0.7.2.4-1+b1_amd64.deb ... Unpacking libghc-syb-dev (0.7.2.4-1+b1) ... Selecting previously unselected package libghc-doctest-parallel-dev. Preparing to unpack .../175-libghc-doctest-parallel-dev_0.3.0.1-1+b3_amd64.deb ... Unpacking libghc-doctest-parallel-dev (0.3.0.1-1+b3) ... Selecting previously unselected package libghc-ghc-paths-prof. Preparing to unpack .../176-libghc-ghc-paths-prof_0.1.0.12-3+b1_amd64.deb ... Unpacking libghc-ghc-paths-prof (0.1.0.12-3+b1) ... Selecting previously unselected package libghc-glob-prof. Preparing to unpack .../177-libghc-glob-prof_0.10.2-2+b2_amd64.deb ... Unpacking libghc-glob-prof (0.10.2-2+b2) ... Selecting previously unselected package libghc-syb-prof. Preparing to unpack .../178-libghc-syb-prof_0.7.2.4-1+b1_amd64.deb ... Unpacking libghc-syb-prof (0.7.2.4-1+b1) ... Selecting previously unselected package libghc-doctest-parallel-prof. Preparing to unpack .../179-libghc-doctest-parallel-prof_0.3.0.1-1+b3_amd64.deb ... Unpacking libghc-doctest-parallel-prof (0.3.0.1-1+b3) ... Selecting previously unselected package libghc-erf-dev. Preparing to unpack .../180-libghc-erf-dev_2.0.0.0-18+b1_amd64.deb ... Unpacking libghc-erf-dev (2.0.0.0-18+b1) ... Selecting previously unselected package libghc-erf-prof. Preparing to unpack .../181-libghc-erf-prof_2.0.0.0-18+b1_amd64.deb ... Unpacking libghc-erf-prof (2.0.0.0-18+b1) ... Selecting previously unselected package libghc-extra-dev. Preparing to unpack .../182-libghc-extra-dev_1.7.14-1+b2_amd64.deb ... Unpacking libghc-extra-dev (1.7.14-1+b2) ... Selecting previously unselected package libghc-extra-doc. Preparing to unpack .../183-libghc-extra-doc_1.7.14-1_all.deb ... Unpacking libghc-extra-doc (1.7.14-1) ... Selecting previously unselected package libghc-extra-prof. Preparing to unpack .../184-libghc-extra-prof_1.7.14-1+b2_amd64.deb ... Unpacking libghc-extra-prof (1.7.14-1+b2) ... Selecting previously unselected package libghc-first-class-families-dev. Preparing to unpack .../185-libghc-first-class-families-dev_0.8.0.1-2+b1_amd64.deb ... Unpacking libghc-first-class-families-dev (0.8.0.1-2+b1) ... Selecting previously unselected package libghc-first-class-families-prof. Preparing to unpack .../186-libghc-first-class-families-prof_0.8.0.1-2+b1_amd64.deb ... Unpacking libghc-first-class-families-prof (0.8.0.1-2+b1) ... Selecting previously unselected package libghc-ghc-tcplugins-extra-dev. Preparing to unpack .../187-libghc-ghc-tcplugins-extra-dev_0.4.5-1+b2_amd64.deb ... Unpacking libghc-ghc-tcplugins-extra-dev (0.4.5-1+b2) ... Selecting previously unselected package libghc-ghc-tcplugins-extra-prof. Preparing to unpack .../188-libghc-ghc-tcplugins-extra-prof_0.4.5-1+b2_amd64.deb ... Unpacking libghc-ghc-tcplugins-extra-prof (0.4.5-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-natnormalise-dev. Preparing to unpack .../189-libghc-ghc-typelits-natnormalise-dev_0.7.9-1+b2_amd64.deb ... Unpacking libghc-ghc-typelits-natnormalise-dev (0.7.9-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-knownnat-dev. Preparing to unpack .../190-libghc-ghc-typelits-knownnat-dev_0.7.9-1+b2_amd64.deb ... Unpacking libghc-ghc-typelits-knownnat-dev (0.7.9-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-extra-dev. Preparing to unpack .../191-libghc-ghc-typelits-extra-dev_0.4.6-1+b2_amd64.deb ... Unpacking libghc-ghc-typelits-extra-dev (0.4.6-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-extra-doc. Preparing to unpack .../192-libghc-ghc-typelits-extra-doc_0.4.6-1_all.deb ... Unpacking libghc-ghc-typelits-extra-doc (0.4.6-1) ... Selecting previously unselected package libghc-ghc-typelits-natnormalise-prof. Preparing to unpack .../193-libghc-ghc-typelits-natnormalise-prof_0.7.9-1+b2_amd64.deb ... Unpacking libghc-ghc-typelits-natnormalise-prof (0.7.9-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-knownnat-prof. Preparing to unpack .../194-libghc-ghc-typelits-knownnat-prof_0.7.9-1+b2_amd64.deb ... Unpacking libghc-ghc-typelits-knownnat-prof (0.7.9-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-extra-prof. Preparing to unpack .../195-libghc-ghc-typelits-extra-prof_0.4.6-1+b2_amd64.deb ... Unpacking libghc-ghc-typelits-extra-prof (0.4.6-1+b2) ... Selecting previously unselected package libghc-ghc-typelits-knownnat-doc. Preparing to unpack .../196-libghc-ghc-typelits-knownnat-doc_0.7.9-1_all.deb ... Unpacking libghc-ghc-typelits-knownnat-doc (0.7.9-1) ... Selecting previously unselected package libghc-ghc-typelits-natnormalise-doc. Preparing to unpack .../197-libghc-ghc-typelits-natnormalise-doc_0.7.9-1_all.deb ... Unpacking libghc-ghc-typelits-natnormalise-doc (0.7.9-1) ... Selecting previously unselected package libghc-half-dev. Preparing to unpack .../198-libghc-half-dev_0.3.1-2+b1_amd64.deb ... Unpacking libghc-half-dev (0.3.1-2+b1) ... Selecting previously unselected package libghc-half-doc. Preparing to unpack .../199-libghc-half-doc_0.3.1-2_all.deb ... Unpacking libghc-half-doc (0.3.1-2) ... Selecting previously unselected package libghc-half-prof. Preparing to unpack .../200-libghc-half-prof_0.3.1-2+b1_amd64.deb ... Unpacking libghc-half-prof (0.3.1-2+b1) ... Selecting previously unselected package libghc-hashable-doc. Preparing to unpack .../201-libghc-hashable-doc_1.4.3.0-1_all.deb ... Unpacking libghc-hashable-doc (1.4.3.0-1) ... Selecting previously unselected package libghc-haskell-lexer-dev. Preparing to unpack .../202-libghc-haskell-lexer-dev_1.1.1-1+b1_amd64.deb ... Unpacking libghc-haskell-lexer-dev (1.1.1-1+b1) ... Selecting previously unselected package libghc-haskell-lexer-prof. Preparing to unpack .../203-libghc-haskell-lexer-prof_1.1.1-1+b1_amd64.deb ... Unpacking libghc-haskell-lexer-prof (1.1.1-1+b1) ... Selecting previously unselected package libghc-monad-control-dev. Preparing to unpack .../204-libghc-monad-control-dev_1.0.3.1-2+b1_amd64.deb ... Unpacking libghc-monad-control-dev (1.0.3.1-2+b1) ... Selecting previously unselected package libghc-lifted-base-dev. Preparing to unpack .../205-libghc-lifted-base-dev_0.2.3.12-5+b1_amd64.deb ... Unpacking libghc-lifted-base-dev (0.2.3.12-5+b1) ... Selecting previously unselected package libghc-lifted-async-dev. Preparing to unpack .../206-libghc-lifted-async-dev_0.10.2.4-1+b1_amd64.deb ... Unpacking libghc-lifted-async-dev (0.10.2.4-1+b1) ... Selecting previously unselected package libghc-mmorph-dev. Preparing to unpack .../207-libghc-mmorph-dev_1.2.0-2+b1_amd64.deb ... Unpacking libghc-mmorph-dev (1.2.0-2+b1) ... Selecting previously unselected package libghc-pretty-show-dev. Preparing to unpack .../208-libghc-pretty-show-dev_1.10-3+b1_amd64.deb ... Unpacking libghc-pretty-show-dev (1.10-3+b1) ... Selecting previously unselected package libghc-primitive-dev. Preparing to unpack .../209-libghc-primitive-dev_0.8.0.0-1+b1_amd64.deb ... Unpacking libghc-primitive-dev (0.8.0.0-1+b1) ... Selecting previously unselected package libghc-unliftio-core-dev. Preparing to unpack .../210-libghc-unliftio-core-dev_0.2.1.0-1+b1_amd64.deb ... Unpacking libghc-unliftio-core-dev (0.2.1.0-1+b1) ... Selecting previously unselected package libghc-resourcet-dev. Preparing to unpack .../211-libghc-resourcet-dev_1.2.6-2+b1_amd64.deb ... Unpacking libghc-resourcet-dev (1.2.6-2+b1) ... Selecting previously unselected package libghc-wl-pprint-annotated-dev. Preparing to unpack .../212-libghc-wl-pprint-annotated-dev_0.1.0.1-5+b1_amd64.deb ... Unpacking libghc-wl-pprint-annotated-dev (0.1.0.1-5+b1) ... Selecting previously unselected package libghc-hedgehog-dev. Preparing to unpack .../213-libghc-hedgehog-dev_1.2-1+b3_amd64.deb ... Unpacking libghc-hedgehog-dev (1.2-1+b3) ... Selecting previously unselected package libghc-monad-control-prof. Preparing to unpack .../214-libghc-monad-control-prof_1.0.3.1-2+b1_amd64.deb ... Unpacking libghc-monad-control-prof (1.0.3.1-2+b1) ... Selecting previously unselected package libghc-lifted-base-prof. Preparing to unpack .../215-libghc-lifted-base-prof_0.2.3.12-5+b1_amd64.deb ... Unpacking libghc-lifted-base-prof (0.2.3.12-5+b1) ... Selecting previously unselected package libghc-lifted-async-prof. Preparing to unpack .../216-libghc-lifted-async-prof_0.10.2.4-1+b1_amd64.deb ... Unpacking libghc-lifted-async-prof (0.10.2.4-1+b1) ... Selecting previously unselected package libghc-mmorph-prof. Preparing to unpack .../217-libghc-mmorph-prof_1.2.0-2+b1_amd64.deb ... Unpacking libghc-mmorph-prof (1.2.0-2+b1) ... Selecting previously unselected package libghc-pretty-show-prof. Preparing to unpack .../218-libghc-pretty-show-prof_1.10-3+b1_amd64.deb ... Unpacking libghc-pretty-show-prof (1.10-3+b1) ... Selecting previously unselected package libghc-primitive-prof. Preparing to unpack .../219-libghc-primitive-prof_0.8.0.0-1+b1_amd64.deb ... Unpacking libghc-primitive-prof (0.8.0.0-1+b1) ... Selecting previously unselected package libghc-unliftio-core-prof. Preparing to unpack .../220-libghc-unliftio-core-prof_0.2.1.0-1+b1_amd64.deb ... Unpacking libghc-unliftio-core-prof (0.2.1.0-1+b1) ... Selecting previously unselected package libghc-resourcet-prof. Preparing to unpack .../221-libghc-resourcet-prof_1.2.6-2+b1_amd64.deb ... Unpacking libghc-resourcet-prof (1.2.6-2+b1) ... Selecting previously unselected package libghc-wl-pprint-annotated-prof. Preparing to unpack .../222-libghc-wl-pprint-annotated-prof_0.1.0.1-5+b1_amd64.deb ... Unpacking libghc-wl-pprint-annotated-prof (0.1.0.1-5+b1) ... Selecting previously unselected package libghc-hedgehog-prof. Preparing to unpack .../223-libghc-hedgehog-prof_1.2-1+b3_amd64.deb ... Unpacking libghc-hedgehog-prof (1.2-1+b3) ... Selecting previously unselected package libghc-temporary-dev. Preparing to unpack .../224-libghc-temporary-dev_1.3-4+b2_amd64.deb ... Unpacking libghc-temporary-dev (1.3-4+b2) ... Selecting previously unselected package libghc-hint-dev. Preparing to unpack .../225-libghc-hint-dev_0.9.0.7-1+b3_amd64.deb ... Unpacking libghc-hint-dev (0.9.0.7-1+b3) ... Selecting previously unselected package libghc-temporary-prof. Preparing to unpack .../226-libghc-temporary-prof_1.3-4+b2_amd64.deb ... Unpacking libghc-temporary-prof (1.3-4+b2) ... Selecting previously unselected package libghc-hint-prof. Preparing to unpack .../227-libghc-hint-prof_0.9.0.7-1+b3_amd64.deb ... Unpacking libghc-hint-prof (0.9.0.7-1+b3) ... Selecting previously unselected package libghc-onetuple-dev. Preparing to unpack .../228-libghc-onetuple-dev_0.4.1.1-1+b1_amd64.deb ... Unpacking libghc-onetuple-dev (0.4.1.1-1+b1) ... Selecting previously unselected package libghc-vector-stream-dev. Preparing to unpack .../229-libghc-vector-stream-dev_0.1.0.0-2+b1_amd64.deb ... Unpacking libghc-vector-stream-dev (0.1.0.0-2+b1) ... Selecting previously unselected package libghc-vector-dev. Preparing to unpack .../230-libghc-vector-dev_0.13.1.0-1_amd64.deb ... Unpacking libghc-vector-dev (0.13.1.0-1) ... Selecting previously unselected package libghc-indexed-traversable-instances-dev. Preparing to unpack .../231-libghc-indexed-traversable-instances-dev_0.1.1.2-1+b1_amd64.deb ... Unpacking libghc-indexed-traversable-instances-dev (0.1.1.2-1+b1) ... Selecting previously unselected package libghc-onetuple-prof. Preparing to unpack .../232-libghc-onetuple-prof_0.4.1.1-1+b1_amd64.deb ... Unpacking libghc-onetuple-prof (0.4.1.1-1+b1) ... Selecting previously unselected package libghc-vector-stream-prof. Preparing to unpack .../233-libghc-vector-stream-prof_0.1.0.0-2+b1_amd64.deb ... Unpacking libghc-vector-stream-prof (0.1.0.0-2+b1) ... Selecting previously unselected package libghc-vector-prof. Preparing to unpack .../234-libghc-vector-prof_0.13.1.0-1_amd64.deb ... Unpacking libghc-vector-prof (0.13.1.0-1) ... Selecting previously unselected package libghc-indexed-traversable-instances-prof. Preparing to unpack .../235-libghc-indexed-traversable-instances-prof_0.1.1.2-1+b1_amd64.deb ... Unpacking libghc-indexed-traversable-instances-prof (0.1.1.2-1+b1) ... Selecting previously unselected package libghc-infinite-list-dev. Preparing to unpack .../236-libghc-infinite-list-dev_0.1-2+b1_amd64.deb ... Unpacking libghc-infinite-list-dev (0.1-2+b1) ... Selecting previously unselected package libghc-infinite-list-doc. Preparing to unpack .../237-libghc-infinite-list-doc_0.1-2_all.deb ... Unpacking libghc-infinite-list-doc (0.1-2) ... Selecting previously unselected package libghc-infinite-list-prof. Preparing to unpack .../238-libghc-infinite-list-prof_0.1-2+b1_amd64.deb ... Unpacking libghc-infinite-list-prof (0.1-2+b1) ... Selecting previously unselected package libghc-invariant-dev. Preparing to unpack .../239-libghc-invariant-dev_0.6.2-1+b1_amd64.deb ... Unpacking libghc-invariant-dev (0.6.2-1+b1) ... Selecting previously unselected package libghc-invariant-prof. Preparing to unpack .../240-libghc-invariant-prof_0.6.2-1+b1_amd64.deb ... Unpacking libghc-invariant-prof (0.6.2-1+b1) ... Selecting previously unselected package libghc-kan-extensions-dev. Preparing to unpack .../241-libghc-kan-extensions-dev_5.2.5-2+b1_amd64.deb ... Unpacking libghc-kan-extensions-dev (5.2.5-2+b1) ... Selecting previously unselected package libghc-kan-extensions-prof. Preparing to unpack .../242-libghc-kan-extensions-prof_5.2.5-2+b1_amd64.deb ... Unpacking libghc-kan-extensions-prof (5.2.5-2+b1) ... Selecting previously unselected package libghc-parallel-dev. Preparing to unpack .../243-libghc-parallel-dev_3.2.2.0-5+b1_amd64.deb ... Unpacking libghc-parallel-dev (3.2.2.0-5+b1) ... Selecting previously unselected package libghc-reflection-dev. Preparing to unpack .../244-libghc-reflection-dev_2.1.7-1+b1_amd64.deb ... Unpacking libghc-reflection-dev (2.1.7-1+b1) ... Selecting previously unselected package libghc-these-dev. Preparing to unpack .../245-libghc-these-dev_1.2-1+b1_amd64.deb ... Unpacking libghc-these-dev (1.2-1+b1) ... Selecting previously unselected package libghc-strict-dev. Preparing to unpack .../246-libghc-strict-dev_0.5-1+b1_amd64.deb ... Unpacking libghc-strict-dev (0.5-1+b1) ... Selecting previously unselected package libghc-lens-dev. Preparing to unpack .../247-libghc-lens-dev_5.2.3-1+b1_amd64.deb ... Unpacking libghc-lens-dev (5.2.3-1+b1) ... Selecting previously unselected package libghc-lens-doc. Preparing to unpack .../248-libghc-lens-doc_5.2.3-1_all.deb ... Unpacking libghc-lens-doc (5.2.3-1) ... Selecting previously unselected package libghc-parallel-prof. Preparing to unpack .../249-libghc-parallel-prof_3.2.2.0-5+b1_amd64.deb ... Unpacking libghc-parallel-prof (3.2.2.0-5+b1) ... Selecting previously unselected package libghc-reflection-prof. Preparing to unpack .../250-libghc-reflection-prof_2.1.7-1+b1_amd64.deb ... Unpacking libghc-reflection-prof (2.1.7-1+b1) ... Selecting previously unselected package libghc-these-prof. Preparing to unpack .../251-libghc-these-prof_1.2-1+b1_amd64.deb ... Unpacking libghc-these-prof (1.2-1+b1) ... Selecting previously unselected package libghc-strict-prof. Preparing to unpack .../252-libghc-strict-prof_0.5-1+b1_amd64.deb ... Unpacking libghc-strict-prof (0.5-1+b1) ... Selecting previously unselected package libghc-lens-prof. Preparing to unpack .../253-libghc-lens-prof_5.2.3-1+b1_amd64.deb ... Unpacking libghc-lens-prof (5.2.3-1+b1) ... Selecting previously unselected package libghc-optparse-applicative-dev. Preparing to unpack .../254-libghc-optparse-applicative-dev_0.17.1.0-1+b1_amd64.deb ... Unpacking libghc-optparse-applicative-dev (0.17.1.0-1+b1) ... Selecting previously unselected package libghc-optparse-applicative-prof. Preparing to unpack .../255-libghc-optparse-applicative-prof_0.17.1.0-1+b1_amd64.deb ... Unpacking libghc-optparse-applicative-prof (0.17.1.0-1+b1) ... Selecting previously unselected package libghc-quickcheck-classes-base-dev. Preparing to unpack .../256-libghc-quickcheck-classes-base-dev_0.6.2.0-4+b1_amd64.deb ... Unpacking libghc-quickcheck-classes-base-dev (0.6.2.0-4+b1) ... Selecting previously unselected package libghc-quickcheck-classes-base-prof. Preparing to unpack .../257-libghc-quickcheck-classes-base-prof_0.6.2.0-4+b1_amd64.deb ... Unpacking libghc-quickcheck-classes-base-prof (0.6.2.0-4+b1) ... Selecting previously unselected package libghc-quickcheck2-doc. Preparing to unpack .../258-libghc-quickcheck2-doc_2.14.3-1_all.deb ... Unpacking libghc-quickcheck2-doc (2.14.3-1) ... Selecting previously unselected package libghc-recursion-schemes-dev. Preparing to unpack .../259-libghc-recursion-schemes-dev_5.2.2.4-3+b1_amd64.deb ... Unpacking libghc-recursion-schemes-dev (5.2.2.4-3+b1) ... Selecting previously unselected package libghc-recursion-schemes-doc. Preparing to unpack .../260-libghc-recursion-schemes-doc_5.2.2.4-3_all.deb ... Unpacking libghc-recursion-schemes-doc (5.2.2.4-3) ... Selecting previously unselected package libghc-recursion-schemes-prof. Preparing to unpack .../261-libghc-recursion-schemes-prof_5.2.2.4-3+b1_amd64.deb ... Unpacking libghc-recursion-schemes-prof (5.2.2.4-3+b1) ... Selecting previously unselected package libghc-reflection-doc. Preparing to unpack .../262-libghc-reflection-doc_2.1.7-1_all.deb ... Unpacking libghc-reflection-doc (2.1.7-1) ... Selecting previously unselected package libghc-safe-dev. Preparing to unpack .../263-libghc-safe-dev_0.3.19-3+b1_amd64.deb ... Unpacking libghc-safe-dev (0.3.19-3+b1) ... Selecting previously unselected package libghc-safe-prof. Preparing to unpack .../264-libghc-safe-prof_0.3.19-3+b1_amd64.deb ... Unpacking libghc-safe-prof (0.3.19-3+b1) ... Selecting previously unselected package libghc-singletons-dev. Preparing to unpack .../265-libghc-singletons-dev_3.0.2-2+b1_amd64.deb ... Unpacking libghc-singletons-dev (3.0.2-2+b1) ... Selecting previously unselected package libghc-singletons-doc. Preparing to unpack .../266-libghc-singletons-doc_3.0.2-2_all.deb ... Unpacking libghc-singletons-doc (3.0.2-2) ... Selecting previously unselected package libghc-singletons-prof. Preparing to unpack .../267-libghc-singletons-prof_3.0.2-2+b1_amd64.deb ... Unpacking libghc-singletons-prof (3.0.2-2+b1) ... Selecting previously unselected package libghc-split-dev. Preparing to unpack .../268-libghc-split-dev_0.2.3.5-2+b1_amd64.deb ... Unpacking libghc-split-dev (0.2.3.5-2+b1) ... Selecting previously unselected package libghc-split-prof. Preparing to unpack .../269-libghc-split-prof_0.2.3.5-2+b1_amd64.deb ... Unpacking libghc-split-prof (0.2.3.5-2+b1) ... Selecting previously unselected package libghc-src-exts-dev. Preparing to unpack .../270-libghc-src-exts-dev_1.23.1-4+b1_amd64.deb ... Unpacking libghc-src-exts-dev (1.23.1-4+b1) ... Selecting previously unselected package libghc-src-exts-prof. Preparing to unpack .../271-libghc-src-exts-prof_1.23.1-4+b1_amd64.deb ... Unpacking libghc-src-exts-prof (1.23.1-4+b1) ... Selecting previously unselected package libghc-th-compat-dev. Preparing to unpack .../272-libghc-th-compat-dev_0.1.4-2+b1_amd64.deb ... Unpacking libghc-th-compat-dev (0.1.4-2+b1) ... Selecting previously unselected package libghc-th-lift-dev. Preparing to unpack .../273-libghc-th-lift-dev_0.8.4-1+b1_amd64.deb ... Unpacking libghc-th-lift-dev (0.8.4-1+b1) ... Selecting previously unselected package libghc-th-expand-syns-dev. Preparing to unpack .../274-libghc-th-expand-syns-dev_0.4.11.0-1+b1_amd64.deb ... Unpacking libghc-th-expand-syns-dev (0.4.11.0-1+b1) ... Selecting previously unselected package libghc-th-reify-many-dev. Preparing to unpack .../275-libghc-th-reify-many-dev_0.1.10-2+b1_amd64.deb ... Unpacking libghc-th-reify-many-dev (0.1.10-2+b1) ... Selecting previously unselected package libghc-th-orphans-dev. Preparing to unpack .../276-libghc-th-orphans-dev_0.13.14-2+b1_amd64.deb ... Unpacking libghc-th-orphans-dev (0.13.14-2+b1) ... Selecting previously unselected package libghc-src-meta-dev. Preparing to unpack .../277-libghc-src-meta-dev_0.8.12-1+b1_amd64.deb ... Unpacking libghc-src-meta-dev (0.8.12-1+b1) ... Selecting previously unselected package libghc-th-compat-prof. Preparing to unpack .../278-libghc-th-compat-prof_0.1.4-2+b1_amd64.deb ... Unpacking libghc-th-compat-prof (0.1.4-2+b1) ... Selecting previously unselected package libghc-th-lift-prof. Preparing to unpack .../279-libghc-th-lift-prof_0.8.4-1+b1_amd64.deb ... Unpacking libghc-th-lift-prof (0.8.4-1+b1) ... Selecting previously unselected package libghc-th-expand-syns-prof. Preparing to unpack .../280-libghc-th-expand-syns-prof_0.4.11.0-1+b1_amd64.deb ... Unpacking libghc-th-expand-syns-prof (0.4.11.0-1+b1) ... Selecting previously unselected package libghc-th-reify-many-prof. Preparing to unpack .../281-libghc-th-reify-many-prof_0.1.10-2+b1_amd64.deb ... Unpacking libghc-th-reify-many-prof (0.1.10-2+b1) ... Selecting previously unselected package libghc-th-orphans-prof. Preparing to unpack .../282-libghc-th-orphans-prof_0.13.14-2+b1_amd64.deb ... Unpacking libghc-th-orphans-prof (0.13.14-2+b1) ... Selecting previously unselected package libghc-src-meta-prof. Preparing to unpack .../283-libghc-src-meta-prof_0.8.12-1+b1_amd64.deb ... Unpacking libghc-src-meta-prof (0.8.12-1+b1) ... Selecting previously unselected package libghc-text-conversions-dev. Preparing to unpack .../284-libghc-text-conversions-dev_0.3.1.1-2+b1_amd64.deb ... Unpacking libghc-text-conversions-dev (0.3.1.1-2+b1) ... Selecting previously unselected package libghc-utf8-string-dev. Preparing to unpack .../285-libghc-utf8-string-dev_1.0.2-2+b1_amd64.deb ... Unpacking libghc-utf8-string-dev (1.0.2-2+b1) ... Selecting previously unselected package libghc-string-interpolate-dev. Preparing to unpack .../286-libghc-string-interpolate-dev_0.3.2.1-3_amd64.deb ... Unpacking libghc-string-interpolate-dev (0.3.2.1-3) ... Selecting previously unselected package libghc-string-interpolate-doc. Preparing to unpack .../287-libghc-string-interpolate-doc_0.3.2.1-3_all.deb ... Unpacking libghc-string-interpolate-doc (0.3.2.1-3) ... Selecting previously unselected package libghc-text-conversions-prof. Preparing to unpack .../288-libghc-text-conversions-prof_0.3.1.1-2+b1_amd64.deb ... Unpacking libghc-text-conversions-prof (0.3.1.1-2+b1) ... Selecting previously unselected package libghc-utf8-string-prof. Preparing to unpack .../289-libghc-utf8-string-prof_1.0.2-2+b1_amd64.deb ... Unpacking libghc-utf8-string-prof (1.0.2-2+b1) ... Selecting previously unselected package libghc-string-interpolate-prof. Preparing to unpack .../290-libghc-string-interpolate-prof_0.3.2.1-3_amd64.deb ... Unpacking libghc-string-interpolate-prof (0.3.2.1-3) ... Selecting previously unselected package libghc-tasty-dev. Preparing to unpack .../291-libghc-tasty-dev_1.4.3-2+b2_amd64.deb ... Unpacking libghc-tasty-dev (1.4.3-2+b2) ... Selecting previously unselected package libghc-tasty-hedgehog-dev. Preparing to unpack .../292-libghc-tasty-hedgehog-dev_1.4.0.1-1+b2_amd64.deb ... Unpacking libghc-tasty-hedgehog-dev (1.4.0.1-1+b2) ... Selecting previously unselected package libghc-tasty-prof. Preparing to unpack .../293-libghc-tasty-prof_1.4.3-2+b2_amd64.deb ... Unpacking libghc-tasty-prof (1.4.3-2+b2) ... Selecting previously unselected package libghc-tasty-hedgehog-prof. Preparing to unpack .../294-libghc-tasty-hedgehog-prof_1.4.0.1-1+b2_amd64.deb ... Unpacking libghc-tasty-hedgehog-prof (1.4.0.1-1+b2) ... Selecting previously unselected package libghc-tasty-hunit-dev. Preparing to unpack .../295-libghc-tasty-hunit-dev_0.10.1-1_amd64.deb ... Unpacking libghc-tasty-hunit-dev (0.10.1-1) ... Selecting previously unselected package libghc-tasty-hunit-prof. Preparing to unpack .../296-libghc-tasty-hunit-prof_0.10.1-1_amd64.deb ... Unpacking libghc-tasty-hunit-prof (0.10.1-1) ... Selecting previously unselected package libghc-tasty-quickcheck-dev. Preparing to unpack .../297-libghc-tasty-quickcheck-dev_0.10.2-2+b1_amd64.deb ... Unpacking libghc-tasty-quickcheck-dev (0.10.2-2+b1) ... Selecting previously unselected package libghc-tasty-quickcheck-prof. Preparing to unpack .../298-libghc-tasty-quickcheck-prof_0.10.2-2+b1_amd64.deb ... Unpacking libghc-tasty-quickcheck-prof (0.10.2-2+b1) ... Selecting previously unselected package libghc-tasty-th-dev. Preparing to unpack .../299-libghc-tasty-th-dev_0.1.7-6+b1_amd64.deb ... Unpacking libghc-tasty-th-dev (0.1.7-6+b1) ... Selecting previously unselected package libghc-tasty-th-prof. Preparing to unpack .../300-libghc-tasty-th-prof_0.1.7-6+b1_amd64.deb ... Unpacking libghc-tasty-th-prof (0.1.7-6+b1) ... Selecting previously unselected package libghc-th-lift-doc. Preparing to unpack .../301-libghc-th-lift-doc_0.8.4-1_all.deb ... Unpacking libghc-th-lift-doc (0.8.4-1) ... Selecting previously unselected package libghc-th-orphans-doc. Preparing to unpack .../302-libghc-th-orphans-doc_0.13.14-2_all.deb ... Unpacking libghc-th-orphans-doc (0.13.14-2) ... Selecting previously unselected package libghc-type-errors-dev. Preparing to unpack .../303-libghc-type-errors-dev_0.2.0.2-1+b1_amd64.deb ... Unpacking libghc-type-errors-dev (0.2.0.2-1+b1) ... Selecting previously unselected package libghc-type-errors-doc. Preparing to unpack .../304-libghc-type-errors-doc_0.2.0.2-1_all.deb ... Unpacking libghc-type-errors-doc (0.2.0.2-1) ... Selecting previously unselected package libghc-type-errors-prof. Preparing to unpack .../305-libghc-type-errors-prof_0.2.0.2-1+b1_amd64.deb ... Unpacking libghc-type-errors-prof (0.2.0.2-1+b1) ... Selecting previously unselected package libghc-uniplate-dev. Preparing to unpack .../306-libghc-uniplate-dev_1.6.13-2+b1_amd64.deb ... Unpacking libghc-uniplate-dev (1.6.13-2+b1) ... Selecting previously unselected package libghc-uniplate-doc. Preparing to unpack .../307-libghc-uniplate-doc_1.6.13-2_all.deb ... Unpacking libghc-uniplate-doc (1.6.13-2) ... Selecting previously unselected package libghc-uniplate-prof. Preparing to unpack .../308-libghc-uniplate-prof_1.6.13-2+b1_amd64.deb ... Unpacking libghc-uniplate-prof (1.6.13-2+b1) ... Selecting previously unselected package libghc-vector-doc. Preparing to unpack .../309-libghc-vector-doc_0.13.1.0-1_all.deb ... Unpacking libghc-vector-doc (0.13.1.0-1) ... Selecting previously unselected package libghc-th-abstraction-doc. Preparing to unpack .../310-libghc-th-abstraction-doc_0.4.5.0-2_all.deb ... Unpacking libghc-th-abstraction-doc (0.4.5.0-2) ... Setting up media-types (10.1.0) ... Setting up libpipeline1:amd64 (1.5.7-2) ... Setting up libkeyutils1:amd64 (1.6.3-3) ... Setting up libunicode-utf8-perl (0.62-2+b2) ... Setting up fonts-mathjax (2.7.9+dfsg-1) ... Setting up libicu72:amd64 (72.1-4+b1) ... Setting up bsdextrautils (2.40-8) ... Setting up libjs-mathjax (2.7.9+dfsg-1) ... Setting up libdynaloader-functions-perl (0.003-3) ... Setting up libmagic-mgc (1:5.45-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.15.3) ... Setting up libbrotli1:amd64 (1.1.0-2+b3) ... Setting up libmagic1t64:amd64 (1:5.45-3) ... Setting up libtry-tiny-perl (0.31-2) ... Setting up libpsl5t64:amd64 (0.21.2-1.1) ... Setting up libnghttp2-14:amd64 (1.61.0-1+b1) ... Setting up gettext-base (0.21-14+b1) ... Setting up m4 (1.4.19-4) ... Setting up libcom-err2:amd64 (1.47.1~rc2-1) ... Setting up file (1:5.45-3) ... Setting up libffi-dev:amd64 (3.4.6-1) ... Setting up libsub-install-perl (0.929-1) ... Setting up libelf1t64:amd64 (0.191-1+b1) ... Setting up libkrb5support0:amd64 (1.20.1-6+b1) ... Setting up libsasl2-modules-db:amd64 (2.1.28+dfsg1-6) ... Setting up tzdata (2024a-3) ... Current default time zone: 'Etc/UTC' Local time is now: Wed May 8 03:09:54 UTC 2024. Universal Time is now: Wed May 8 03:09:54 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up autotools-dev (20220109.1) ... Setting up libgmpxx4ldbl:amd64 (2:6.3.0+dfsg-2+b1) ... Setting up librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b4) ... Setting up libncurses6:amd64 (6.4+20240414-1) ... Setting up autopoint (0.21-14) ... Setting up libb-hooks-op-check-perl:amd64 (0.22-3+b1) ... Setting up libk5crypto3:amd64 (1.20.1-6+b1) ... Setting up libparams-util-perl (1.102-3) ... Setting up libsasl2-2:amd64 (2.1.28+dfsg1-6) ... Setting up autoconf (2.71-3) ... Setting up libsub-exporter-progressive-perl (0.001013-3) ... Setting up libtimedate-perl (2.3300-2) ... Setting up libdevel-confess-perl (0.009004-3) ... Setting up dwz (0.15-1+b1) ... Setting up sensible-utils (0.0.22) ... Setting up libpath-tiny-perl (0.144-1) ... Setting up libuchardet0:amd64 (0.0.8-1+b1) ... Setting up libmd-dev:amd64 (1.1.0-2) ... Setting up libipc-run3-perl (0.049-1) ... Setting up libsub-override-perl (0.10-1) ... Setting up netbase (6.4) ... Setting up libkrb5-3:amd64 (1.20.1-6+b1) ... Setting up libssh2-1t64:amd64 (1.11.0-4.1+b2) ... Setting up libbsd0:amd64 (0.12.2-1) ... Setting up readline-common (8.2-4) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Setting up libbsd-dev:amd64 (0.12.2-1) ... Setting up dctrl-tools (2.24-3+b1) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libncurses-dev:amd64 (6.4+20240414-1) ... Setting up gettext (0.21-14+b1) ... Setting up libgmp-dev:amd64 (2:6.3.0+dfsg-2+b1) ... Setting up libtool (2.4.7-7) ... Setting up ghc (9.4.7-5) ... update-alternatives: using /usr/bin/runghc to provide /usr/bin/runhaskell (runhaskell) in auto mode update-alternatives: using /usr/bin/ghc to provide /usr/bin/haskell-compiler (haskell-compiler) in auto mode Setting up libghc-data-binary-ieee754-doc (0.4.4-12) ... Setting up libghc-hashable-doc (1.4.3.0-1) ... Setting up libghc-src-exts-dev (1.23.1-4+b1) ... Setting up libghc-quickcheck2-doc (2.14.3-1) ... Setting up libghc-haskell-lexer-dev (1.1.1-1+b1) ... Setting up libghc-colour-dev (2.3.6-2+b1) ... Setting up libghc-singletons-doc (3.0.2-2) ... Setting up libghc-ghc-paths-dev (0.1.0.12-3+b1) ... Setting up libghc-ghc-typelits-knownnat-doc (0.7.9-1) ... Setting up libghc-vector-doc (0.13.1.0-1) ... Setting up libdevel-callchecker-perl:amd64 (0.009-1) ... Setting up libghc-data-binary-ieee754-dev (0.4.4-12+b1) ... Setting up libghc-string-interpolate-doc (0.3.2.1-3) ... Setting up libldap-2.5-0:amd64 (2.5.17+dfsg-1) ... Setting up libghc-code-page-dev (0.2.1-2+b1) ... Setting up libghc-ghc-tcplugins-extra-dev (0.4.5-1+b2) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up libghc-data-default-class-dev (0.1.2.0-7+b1) ... Setting up dh-autoreconf (20) ... Setting up libghc-wl-pprint-annotated-dev (0.1.0.1-5+b1) ... Setting up libghc-split-dev (0.2.3.5-2+b1) ... Setting up libghc-type-equality-dev (1-4+b1) ... Setting up libghc-lazysmallcheck-dev (0.6-14+b1) ... Setting up libghc-reflection-doc (2.1.7-1) ... Setting up libghc-th-compat-dev (0.1.4-2+b1) ... Setting up libghc-base-compat-dev (0.12.3-1+b2) ... Setting up libghc-clock-dev (0.8.4-1+b1) ... Setting up libghc-base16-bytestring-dev (1.0.2.0-2+b1) ... Setting up libghc-splitmix-dev (0.1.0.5-1) ... Setting up libghc-half-doc (0.3.1-2) ... Setting up ghc-doc (9.4.7-5) ... Setting up libghc-th-abstraction-doc (0.4.5.0-2) ... Setting up libgssapi-krb5-2:amd64 (1.20.1-6+b1) ... Setting up libdata-optlist-perl (0.114-1) ... Setting up libghc-statevar-dev (1.2.2-2+b1) ... Setting up libghc-lens-doc (5.2.3-1) ... Setting up libghc-ghc-typelits-natnormalise-dev (0.7.9-1+b2) ... Setting up libghc-th-abstraction-dev (0.4.5.0-2+b1) ... Setting up libghc-base-orphans-dev (0.9.1-1) ... Setting up libreadline8t64:amd64 (8.2-4) ... Setting up libghc-transformers-compat-dev (0.7.2-1+b1) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libghc-base64-bytestring-dev (1.2.1.0-2+b1) ... Setting up libghc-ghc-typelits-extra-doc (0.4.6-1) ... Setting up libghc-arrows-doc (0.4.4.2-5) ... Setting up libghc-first-class-families-dev (0.8.0.1-2+b1) ... Setting up libghc-dlist-dev (1.0-3+b1) ... Setting up groff-base (1.23.0-4) ... Setting up libghc-infinite-list-doc (0.1-2) ... Setting up libghc-data-default-class-doc (0.1.2.0-7) ... Setting up libghc-th-lift-doc (0.8.4-1) ... Setting up libghc-terminal-size-dev (0.3.4-1+b1) ... Setting up libghc-utf8-string-dev (1.0.2-2+b1) ... Setting up libghc-half-dev (0.3.1-2+b1) ... Setting up libghc-unliftio-core-dev (0.2.1.0-1+b1) ... Setting up libghc-syb-dev (0.7.2.4-1+b1) ... Setting up libghc-extra-doc (1.7.14-1) ... Setting up libghc-tagged-dev (0.8.7-1+b1) ... Setting up libghc-uniplate-doc (1.6.13-2) ... Setting up libghc-assoc-dev (1.1-1+b1) ... Setting up libghc-type-errors-doc (0.2.0.2-1) ... Setting up libghc-vector-stream-dev (0.1.0.0-2+b1) ... Setting up libghc-infinite-list-dev (0.1-2+b1) ... Setting up libghc-ansi-terminal-types-dev (0.11.5-2+b1) ... Setting up libghc-constraints-doc (0.13.4-2) ... Setting up libghc-onetuple-dev (0.4.1.1-1+b1) ... Setting up libsub-exporter-perl (0.990-1) ... Setting up libghc-erf-dev (2.0.0.0-18+b1) ... Setting up libghc-singletons-dev (3.0.2-2+b1) ... Setting up libghc-safe-dev (0.3.19-3+b1) ... Setting up libghc-distributive-dev (0.6.2.1-2+b1) ... Setting up libghc-ghc-typelits-natnormalise-doc (0.7.9-1) ... Setting up libghc-hashable-dev (1.4.3.0-1+b1) ... Setting up libghc-ansi-terminal-dev (0.11.5-1+b1) ... Setting up libghc-transformers-base-dev (0.4.6-2+b1) ... Setting up libghc-barbies-dev (2.0.4.0-3+b1) ... Setting up libghc-th-orphans-doc (0.13.14-2) ... Setting up libghc-type-errors-dev (0.2.0.2-1+b1) ... Setting up libghc-call-stack-dev (0.4.0-2+b1) ... Setting up libghc-semigroups-dev (0.20-2+b1) ... Setting up libghc-primitive-dev (0.8.0.0-1+b1) ... Setting up ghc-prof (9.4.7-5) ... Setting up libghc-void-dev (0.7.3-4+b1) ... Setting up libghc-reflection-dev (2.1.7-1+b1) ... Setting up libghc-pretty-show-dev (1.10-3+b1) ... Setting up libghc-type-equality-prof (1-4+b1) ... Setting up libghc-recursion-schemes-doc (5.2.2.4-3) ... Setting up libghc-parallel-dev (3.2.2.0-5+b1) ... Setting up libghc-dlist-prof (1.0-3+b1) ... Setting up libghc-first-class-families-prof (0.8.0.1-2+b1) ... Setting up libghc-resourcet-dev (1.2.6-2+b1) ... Setting up libghc-random-dev (1.2.1.1-3+b1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libghc-extra-dev (1.7.14-1+b2) ... Setting up libpython3.11-stdlib:amd64 (3.11.9-1) ... Setting up libparams-classify-perl:amd64 (0.015-2+b3) ... Setting up libghc-constraints-dev (0.13.4-2+b1) ... Setting up libghc-primitive-prof (0.8.0.0-1+b1) ... Setting up libghc-haskell-lexer-prof (1.1.1-1+b1) ... Setting up libcurl3t64-gnutls:amd64 (8.7.1-3) ... Setting up libghc-void-prof (0.7.3-4+b1) ... Setting up libghc-clock-prof (0.8.4-1+b1) ... Setting up libghc-data-default-class-prof (0.1.2.0-7+b1) ... Setting up libghc-semigroups-prof (0.20-2+b1) ... Setting up libghc-statevar-prof (1.2.2-2+b1) ... Setting up libghc-foldable1-classes-compat-dev (0.1-2+b1) ... Setting up libghc-glob-dev (0.10.2-2+b2) ... Setting up libghc-base-orphans-prof (0.9.1-1) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Setting up libghc-indexed-traversable-dev (0.1.3-1) ... Setting up libghc-half-prof (0.3.1-2+b1) ... Setting up libghc-splitmix-prof (0.1.0.5-1) ... Setting up libghc-hashable-prof (1.4.3.0-1+b1) ... Setting up libghc-singletons-prof (3.0.2-2+b1) ... Setting up libghc-terminal-size-prof (0.3.4-1+b1) ... Setting up libghc-lazysmallcheck-prof (0.6-14+b1) ... Setting up libghc-th-expand-syns-dev (0.4.11.0-1+b1) ... Setting up libghc-contravariant-dev (1.5.5-2+b1) ... Setting up libghc-quickcheck2-dev (2.14.3-1+b1) ... Setting up libghc-unliftio-core-prof (0.2.1.0-1+b1) ... Setting up libghc-utf8-string-prof (1.0.2-2+b1) ... Setting up libghc-ghc-tcplugins-extra-prof (0.4.5-1+b2) ... Setting up libmodule-runtime-perl (0.016-2) ... Setting up libghc-th-abstraction-prof (0.4.5.0-2+b1) ... Setting up libghc-temporary-dev (1.3-4+b2) ... Setting up libghc-unordered-containers-dev (0.2.19.1-2+b1) ... Setting up libghc-th-lift-dev (0.8.4-1+b1) ... Setting up libghc-uniplate-dev (1.6.13-2+b1) ... Setting up libghc-text-conversions-dev (0.3.1.1-2+b1) ... Setting up libghc-code-page-prof (0.2.1-2+b1) ... Setting up libghc-hint-dev (0.9.0.7-1+b3) ... Setting up libghc-th-compat-prof (0.1.4-2+b1) ... Setting up libghc-colour-prof (2.3.6-2+b1) ... Setting up libghc-ghc-typelits-knownnat-dev (0.7.9-1+b2) ... Setting up libghc-these-dev (1.2-1+b1) ... Setting up libghc-transformers-compat-prof (0.7.2-1+b1) ... Setting up libghc-mmorph-dev (1.2.0-2+b1) ... Setting up libghc-data-fix-dev (0.3.2-2+b1) ... Setting up libconst-fast-perl (0.014-2) ... Setting up libghc-tagged-prof (0.8.7-1+b1) ... Setting up libghc-src-exts-prof (1.23.1-4+b1) ... Setting up libghc-erf-prof (2.0.0.0-18+b1) ... Setting up libghc-doctest-parallel-dev (0.3.0.1-1+b3) ... Setting up libghc-quickcheck-classes-base-dev (0.6.2.0-4+b1) ... Setting up libghc-call-stack-prof (0.4.0-2+b1) ... Setting up libghc-ansi-wl-pprint-dev (0.6.9-6+b1) ... Setting up libghc-stream-dev (0.4.7.2-9+b1) ... Setting up libghc-reflection-prof (2.1.7-1+b1) ... Setting up libghc-async-dev (2.2.4-4+b1) ... Setting up libghc-safe-prof (0.3.19-3+b1) ... Setting up libghc-base16-bytestring-prof (1.0.2.0-2+b1) ... Setting up libghc-split-prof (0.2.3.5-2+b1) ... Setting up libghc-data-binary-ieee754-prof (0.4.4-12+b1) ... Setting up libghc-pretty-show-prof (1.10-3+b1) ... Setting up libghc-vector-stream-prof (0.1.0.0-2+b1) ... Setting up libghc-parallel-prof (3.2.2.0-5+b1) ... Setting up libghc-ghc-typelits-extra-dev (0.4.6-1+b2) ... Setting up libghc-base64-bytestring-prof (1.2.1.0-2+b1) ... Setting up libghc-transformers-base-prof (0.4.6-2+b1) ... Setting up libghc-vector-dev (0.13.1.0-1) ... Setting up libghc-monad-control-dev (1.0.3.1-2+b1) ... Setting up libpython3-stdlib:amd64 (3.11.8-1) ... Setting up libghc-infinite-list-prof (0.1-2+b1) ... Setting up libghc-base-compat-prof (0.12.3-1+b2) ... Setting up libghc-mmorph-prof (1.2.0-2+b1) ... Setting up libghc-th-reify-many-dev (0.1.10-2+b1) ... Setting up libghc-unordered-containers-prof (0.2.19.1-2+b1) ... Setting up libghc-wl-pprint-annotated-prof (0.1.0.1-5+b1) ... Setting up libghc-extra-prof (1.7.14-1+b2) ... Setting up libghc-async-prof (2.2.4-4+b1) ... Setting up libghc-ghc-paths-prof (0.1.0.12-3+b1) ... Setting up libghc-onetuple-prof (0.4.1.1-1+b1) ... Setting up python3.11 (3.11.9-1) ... Setting up libghc-assoc-prof (1.1-1+b1) ... Setting up libghc-monad-control-prof (1.0.3.1-2+b1) ... Setting up libghc-syb-prof (0.7.2.4-1+b1) ... Setting up libghc-resourcet-prof (1.2.6-2+b1) ... Setting up html-xml-utils (7.7-1.1+b1) ... Setting up libghc-constraints-prof (0.13.4-2+b1) ... Setting up libmodule-implementation-perl (0.09-2) ... Setting up libghc-random-prof (1.2.1.1-3+b1) ... Setting up libghc-lifted-base-dev (0.2.3.12-5+b1) ... Setting up liblist-someutils-perl (0.59-1) ... Setting up debhelper (13.15.3) ... Setting up libghc-ansi-terminal-types-prof (0.11.5-2+b1) ... Setting up python3 (3.11.8-1) ... Setting up libghc-foldable1-classes-compat-prof (0.1-2+b1) ... Setting up libghc-contravariant-prof (1.5.5-2+b1) ... Setting up libghc-comonad-dev (5.0.8-2+b1) ... Setting up libghc-these-prof (1.2-1+b1) ... Setting up libghc-uniplate-prof (1.6.13-2+b1) ... Setting up libghc-th-orphans-dev (0.13.14-2+b1) ... Setting up libghc-distributive-prof (0.6.2.1-2+b1) ... Setting up libghc-strict-dev (0.5-1+b1) ... Setting up libghc-th-expand-syns-prof (0.4.11.0-1+b1) ... Setting up libghc-ghc-typelits-natnormalise-prof (0.7.9-1+b2) ... Setting up libghc-strict-prof (0.5-1+b1) ... Setting up libghc-temporary-prof (1.3-4+b2) ... Setting up libghc-vector-prof (0.13.1.0-1) ... Setting up libghc-type-errors-prof (0.2.0.2-1+b1) ... Setting up libghc-concurrent-output-dev (1.10.20-1+b1) ... Setting up libghc-th-lift-prof (0.8.4-1+b1) ... Setting up libghc-arrows-dev (0.4.4.2-5+b1) ... Setting up libghc-bifunctors-dev (5.5.15-1+b1) ... Setting up libghc-glob-prof (0.10.2-2+b2) ... Setting up libghc-ghc-typelits-knownnat-prof (0.7.9-1+b2) ... Setting up libghc-text-conversions-prof (0.3.1.1-2+b1) ... Setting up libghc-optparse-applicative-dev (0.17.1.0-1+b1) ... Setting up libghc-data-fix-prof (0.3.2-2+b1) ... Setting up libghc-lifted-async-dev (0.10.2.4-1+b1) ... Setting up libghc-th-reify-many-prof (0.1.10-2+b1) ... Setting up dh-buildinfo (0.11+nmu3) ... Setting up libghc-profunctors-dev (5.6.2-2+b1) ... Setting up libghc-doctest-parallel-prof (0.3.0.1-1+b3) ... Setting up libghc-indexed-traversable-prof (0.1.3-1) ... Setting up libghc-ghc-typelits-extra-prof (0.4.6-1+b2) ... Setting up libghc-indexed-traversable-instances-dev (0.1.1.2-1+b1) ... Setting up python3-pkg-resources (68.1.2-2) ... Setting up libghc-barbies-prof (2.0.4.0-3+b1) ... Setting up libghc-quickcheck2-prof (2.14.3-1+b1) ... Setting up libghc-lifted-base-prof (0.2.3.12-5+b1) ... Setting up libghc-indexed-traversable-instances-prof (0.1.1.2-1+b1) ... Setting up libghc-invariant-dev (0.6.2-1+b1) ... Setting up libghc-th-orphans-prof (0.13.14-2+b1) ... Setting up libghc-quickcheck-classes-base-prof (0.6.2.0-4+b1) ... Setting up libghc-ansi-terminal-prof (0.11.5-1+b1) ... Setting up libghc-hedgehog-dev (1.2-1+b3) ... Setting up libghc-comonad-prof (5.0.8-2+b1) ... Setting up libghc-src-meta-dev (0.8.12-1+b1) ... Setting up libghc-hint-prof (0.9.0.7-1+b3) ... Setting up libghc-semigroupoids-dev (5.3.7-2+b1) ... Setting up libghc-tasty-dev (1.4.3-2+b2) ... Setting up libghc-concurrent-output-prof (1.10.20-1+b1) ... Setting up libghc-bifunctors-prof (5.5.15-1+b1) ... Setting up libghc-ansi-wl-pprint-prof (0.6.9-6+b1) ... Setting up libghc-string-interpolate-dev (0.3.2.1-3) ... Setting up libghc-tasty-hedgehog-dev (1.4.0.1-1+b2) ... Setting up python3-scour (0.38.2-4.1) ... Setting up libghc-stream-prof (0.4.7.2-9+b1) ... Setting up libghc-lifted-async-prof (0.10.2.4-1+b1) ... Setting up libghc-profunctors-prof (5.6.2-2+b1) ... Setting up libghc-tasty-quickcheck-dev (0.10.2-2+b1) ... Setting up libghc-optparse-applicative-prof (0.17.1.0-1+b1) ... Setting up libghc-free-dev (5.1.10-1+b1) ... Setting up libghc-tasty-th-dev (0.1.7-6+b1) ... Setting up libghc-src-meta-prof (0.8.12-1+b1) ... Setting up libghc-semigroupoids-prof (5.3.7-2+b1) ... Setting up libghc-arrows-prof (0.4.4.2-5+b1) ... Setting up libghc-tasty-hunit-dev (0.10.1-1) ... Setting up libghc-string-interpolate-prof (0.3.2.1-3) ... Setting up libghc-adjunctions-dev (4.4.2-2+b1) ... Setting up libghc-tasty-prof (1.4.3-2+b2) ... Setting up libghc-free-prof (5.1.10-1+b1) ... Setting up scour (0.38.2-4.1) ... Setting up libghc-invariant-prof (0.6.2-1+b1) ... Setting up libghc-recursion-schemes-dev (5.2.2.4-3+b1) ... Setting up libghc-recursion-schemes-prof (5.2.2.4-3+b1) ... Setting up libghc-hedgehog-prof (1.2-1+b3) ... Setting up cdbs (0.4.166) ... Setting up libghc-tasty-quickcheck-prof (0.10.2-2+b1) ... Setting up haskell-devscripts-minimal (0.16.33) ... Setting up libghc-tasty-th-prof (0.1.7-6+b1) ... Setting up libghc-tasty-hedgehog-prof (1.4.0.1-1+b2) ... Setting up libghc-kan-extensions-dev (5.2.5-2+b1) ... Setting up libghc-tasty-hunit-prof (0.10.1-1) ... Setting up libghc-adjunctions-prof (4.4.2-2+b1) ... Setting up libghc-kan-extensions-prof (5.2.5-2+b1) ... Setting up libghc-lens-dev (5.2.3-1+b1) ... Setting up libghc-lens-prof (5.2.3-1+b1) ... Processing triggers for libc-bin (2.38-7) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps Reading package lists... Building dependency tree... Reading state information... fakeroot is already the newest version (1.33-1). 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package I: Running cd /build/reproducible-path/haskell-clash-prelude-1.8.1/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../haskell-clash-prelude_1.8.1-1_source.changes dpkg-buildpackage: info: source package haskell-clash-prelude dpkg-buildpackage: info: source version 1.8.1-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Scott Talbert dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 fakeroot debian/rules clean test -x debian/rules dh_clean perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'clean_recipe' Running rm -rf dist dist-ghc dist-ghcjs dist-hugs debian/tmp-setup-hs Running rm -f debian/hlibrary.setup Running rm -f Setup.hi Setup.ho Setup.o Running rm -f rm -f configure-ghc-stamp rm -f build-ghc-stamp build-hugs-stamp build-haddock-stamp rm -f check-ghc-stamp rm -f debian/tmp rm -rf debian/tmp-inst-ghc debian/tmp-inst-ghcjs rm -rf debian/tmp-db rm -f debian/hlibrary.Makefile debian/rules build test -x debian/rules mkdir -p "." CDBS WARNING: DEB_DH_STRIP_ARGS is deprecated since 0.4.85 CDBS WARNING: DEB_COMPRESS_EXCLUDE is deprecated since 0.4.85 fakeroot debian/rules binary test -x debian/rules dh_testroot dh_prep dh_installdirs -A mkdir -p "." CDBS WARNING: DEB_DH_STRIP_ARGS is deprecated since 0.4.85 CDBS WARNING: DEB_COMPRESS_EXCLUDE is deprecated since 0.4.85 Adding cdbs dependencies to debian/libghc-clash-prelude-doc.substvars dh_installdirs -plibghc-clash-prelude-doc \ perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'make_setup_recipe' Running ghc --make /usr/share/haskell-devscripts/Setup-Simple.hs -o debian/hlibrary.setup -outputdir debian/tmp-setup-hs [1 of 2] Compiling Main ( /usr/share/haskell-devscripts/Setup-Simple.hs, debian/tmp-setup-hs/Main.o ) [2 of 2] Linking debian/hlibrary.setup perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'configure_recipe' Running find . ! -newer /tmp/llIBQVYHna -exec touch -d 1998-01-01 UTC {} ; Running dh_listpackages libghc-clash-prelude-dev libghc-clash-prelude-prof libghc-clash-prelude-doc Running dh_listpackages libghc-clash-prelude-dev libghc-clash-prelude-prof libghc-clash-prelude-doc Running dpkg-buildflags --get LDFLAGS -Wl,-z,relro Running debian/hlibrary.setup configure --ghc -v2 --package-db=/var/lib/ghc/package.conf.d --prefix=/usr --libdir=/usr/lib/haskell-packages/ghc/lib --libexecdir=/usr/lib --builddir=dist-ghc --ghc-option=-optl-Wl,-z,relro --haddockdir=/usr/lib/ghc-doc/haddock/clash-prelude-1.8.1/ --datasubdir=clash-prelude --htmldir=/usr/share/doc/libghc-clash-prelude-doc/html/ --enable-library-profiling --enable-tests Using Parsec parser Configuring clash-prelude-1.8.1... Flags chosen: benchmarks=True, doctests=False, large-tuples=False, multiple-hidden=False, strict-mapsignal=False, super-strict=False, unittests=True, workaround-ghc-mmap-crash=False Dependency QuickCheck >=2.7 && <2.15: using QuickCheck-2.14.3 Dependency array >=0.5.1.0 && <0.6: using array-0.5.4.0 Dependency arrows >=0.4 && <0.5: using arrows-0.4.4.2 Dependency base >=4.11 && <5: using base-4.17.2.0 Dependency binary >=0.8.5 && <0.11: using binary-0.8.9.1 Dependency bytestring >=0.10.8 && <0.13: using bytestring-0.11.5.2 Dependency constraints >=0.9 && <1.0: using constraints-0.13.4 Dependency containers >=0.4.0 && <0.7: using containers-0.6.7 Dependency data-binary-ieee754 >=0.4.4 && <0.6: using data-binary-ieee754-0.4.4 Dependency data-default-class >=0.1.2 && <0.2: using data-default-class-0.1.2.0 Dependency deepseq >=1.4.1.0 && <1.6: using deepseq-1.4.8.0 Dependency extra >=1.6.17 && <1.8: using extra-1.7.14 Dependency ghc-bignum >=1.0 && <1.4: using ghc-bignum-1.3 Dependency ghc-prim >=0.5.1.0 && <0.12: using ghc-prim-0.9.1 Dependency ghc-typelits-extra >=0.4 && <0.5: using ghc-typelits-extra-0.4.6 Dependency ghc-typelits-knownnat >=0.7.2 && <0.8: using ghc-typelits-knownnat-0.7.9 Dependency ghc-typelits-natnormalise >=0.7.2 && <0.8: using ghc-typelits-natnormalise-0.7.9 Dependency half >=0.2.2.3 && <1.0: using half-0.3.1 Dependency hashable >=1.2.1.0 && <1.5: using hashable-1.4.3.0 Dependency infinite-list >=0.1 && <0.2: using infinite-list-0.1 Dependency lens >=4.10 && <5.3: using lens-5.2.3 Dependency mtl >=2.0 && <3.0: using mtl-2.2.2 Dependency recursion-schemes >=5.1 && <5.3: using recursion-schemes-5.2.2.4 Dependency reflection >=2 && <2.2: using reflection-2.1.7 Dependency singletons >=2.0 && <3.1: using singletons-3.0.2 Dependency string-interpolate >=0.3 && <0.4: using string-interpolate-0.3.2.1 Dependency template-haskell >=2.12.0.0 && <2.22: using template-haskell-2.19.0.0 Dependency text >=0.11.3.1 && <2.2: using text-2.0.2 Dependency th-abstraction >=0.2.10 && <0.7.0: using th-abstraction-0.4.5.0 Dependency th-lift >=0.7.0 && <0.9: using th-lift-0.8.4 Dependency th-orphans >=0.13.1 && <1.0: using th-orphans-0.13.14 Dependency time >=1.8 && <1.14: using time-1.12.2 Dependency transformers >=0.5.2.0 && <0.7: using transformers-0.5.6.2 Dependency type-errors >=0.2.0.0 && <0.3: using type-errors-0.2.0.2 Dependency uniplate >=1.6.12 && <1.7: using uniplate-1.6.13 Dependency vector >=0.11 && <1.0: using vector-0.13.1.0 Dependency base: using base-4.17.2.0 Dependency bytestring: using bytestring-0.11.5.2 Dependency clash-prelude: using clash-prelude-1.8.1 Dependency deepseq: using deepseq-1.4.8.0 Dependency ghc-typelits-extra: using ghc-typelits-extra-0.4.6 Dependency ghc-typelits-knownnat: using ghc-typelits-knownnat-0.7.9 Dependency ghc-typelits-natnormalise: using ghc-typelits-natnormalise-0.7.9 Dependency hedgehog >=1.0.3 && <1.5: using hedgehog-1.2 Dependency hint >=0.7 && <0.10: using hint-0.9.0.7 Dependency quickcheck-classes-base >=0.6 && <1.0: using quickcheck-classes-base-0.6.2.0 Dependency tasty >=1.2 && <1.6: using tasty-1.4.3 Dependency tasty-hedgehog >=1.2.0: using tasty-hedgehog-1.4.0.1 Dependency tasty-hunit: using tasty-hunit-0.10.1 Dependency tasty-quickcheck: using tasty-quickcheck-0.10.2 Dependency tasty-th: using tasty-th-0.1.7 Dependency template-haskell: using template-haskell-2.19.0.0 Source component graph: component lib component test:unittests dependency lib Configured component graph: component clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S include QuickCheck-2.14.3-BmeqZc5o1KN1SLTJnQ4VO3 include array-0.5.4.0 include arrows-0.4.4.2-Kgc5Qp4tyDB8I0Jspby6RN include base-4.17.2.0 include binary-0.8.9.1 include bytestring-0.11.5.2 include constraints-0.13.4-52YLcb21iPS5soAVaAJGoV include containers-0.6.7 include data-binary-ieee754-0.4.4-BrYPwMWzk237ThKnypNrAu include data-default-class-0.1.2.0-HWomeRk5e2p3WGQsDTnuAN include deepseq-1.4.8.0 include extra-1.7.14-DfcUn0kURmM7zhMG6RTp91 include ghc-bignum-1.3 include ghc-prim-0.9.1 include ghc-typelits-extra-0.4.6-JvEL6iN5fY83uVSLtiPdYr include ghc-typelits-knownnat-0.7.9-3n5GnDWtiquBmcLeZtkNww include ghc-typelits-natnormalise-0.7.9-7wMwVssZWV97vTrkDC3ywH include half-0.3.1-DAeDxlE59218ih3qhvXqXy include hashable-1.4.3.0-5Qah7zM9DI77czw44bVGqg include infinite-list-0.1-G6sMZgnPW3RK0hRNnHWuEC include lens-5.2.3-8TCaz0QMVgsJ9hlUL16Ksq include mtl-2.2.2 include recursion-schemes-5.2.2.4-52hVc78VbhB4ZQJQU4J1l3 include reflection-2.1.7-G9s0ta64nF9AlDNNjOk9hz include singletons-3.0.2-3DcR4SWVUp79j2YXjDDaPv include string-interpolate-0.3.2.1-7RxMkbObdqm8Ke83Lg4ljN include template-haskell-2.19.0.0 include text-2.0.2 include th-abstraction-0.4.5.0-CynkUuS8OuAKbGjDnje1Fs include th-lift-0.8.4-Hb96g2HGtQyHkKuMt8Wl3a include th-orphans-0.13.14-DohaPw08T4B8qGhCCSsS9D include time-1.12.2 include transformers-0.5.6.2 include type-errors-0.2.0.2-6hYqU0bvUla2e21A3XXkcd include uniplate-1.6.13-HbFY80xZVh6EFwRLGUsRwB include vector-0.13.1.0-E4LTjcK991m18cbKZhUjhX component clash-prelude-1.8.1-I8aIaQVtHitDWUsuzk8Xg9-unittests include base-4.17.2.0 include bytestring-0.11.5.2 include clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S include deepseq-1.4.8.0 include ghc-typelits-extra-0.4.6-JvEL6iN5fY83uVSLtiPdYr include ghc-typelits-knownnat-0.7.9-3n5GnDWtiquBmcLeZtkNww include ghc-typelits-natnormalise-0.7.9-7wMwVssZWV97vTrkDC3ywH include hedgehog-1.2-7qvIupQYHA5CLp5Tw3i8P include hint-0.9.0.7-5iGaXx3CoAKERLnUH7t1lY include quickcheck-classes-base-0.6.2.0-LRP4byNLAxqBCGInQnniwo include tasty-1.4.3-Jw0iYFrsdkg7Tjf4A7fnBL include tasty-hedgehog-1.4.0.1-1ZMaEAONwC6CLxp23x7q9v include tasty-hunit-0.10.1-DigS6vRa7oiLLQpAzsAtbP include tasty-quickcheck-0.10.2-7Ze6A7P6YtSE4O0CXDR3YM include tasty-th-0.1.7-7gth1O9XmUM4LoILMsTwWW include template-haskell-2.19.0.0 Linked component graph: unit clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S include QuickCheck-2.14.3-BmeqZc5o1KN1SLTJnQ4VO3 include array-0.5.4.0 include arrows-0.4.4.2-Kgc5Qp4tyDB8I0Jspby6RN include base-4.17.2.0 include binary-0.8.9.1 include bytestring-0.11.5.2 include constraints-0.13.4-52YLcb21iPS5soAVaAJGoV include containers-0.6.7 include data-binary-ieee754-0.4.4-BrYPwMWzk237ThKnypNrAu include data-default-class-0.1.2.0-HWomeRk5e2p3WGQsDTnuAN include deepseq-1.4.8.0 include extra-1.7.14-DfcUn0kURmM7zhMG6RTp91 include ghc-bignum-1.3 include ghc-prim-0.9.1 include ghc-typelits-extra-0.4.6-JvEL6iN5fY83uVSLtiPdYr include ghc-typelits-knownnat-0.7.9-3n5GnDWtiquBmcLeZtkNww include ghc-typelits-natnormalise-0.7.9-7wMwVssZWV97vTrkDC3ywH include half-0.3.1-DAeDxlE59218ih3qhvXqXy include hashable-1.4.3.0-5Qah7zM9DI77czw44bVGqg include infinite-list-0.1-G6sMZgnPW3RK0hRNnHWuEC include lens-5.2.3-8TCaz0QMVgsJ9hlUL16Ksq include mtl-2.2.2 include recursion-schemes-5.2.2.4-52hVc78VbhB4ZQJQU4J1l3 include reflection-2.1.7-G9s0ta64nF9AlDNNjOk9hz include singletons-3.0.2-3DcR4SWVUp79j2YXjDDaPv include string-interpolate-0.3.2.1-7RxMkbObdqm8Ke83Lg4ljN include template-haskell-2.19.0.0 include text-2.0.2 include th-abstraction-0.4.5.0-CynkUuS8OuAKbGjDnje1Fs include th-lift-0.8.4-Hb96g2HGtQyHkKuMt8Wl3a include th-orphans-0.13.14-DohaPw08T4B8qGhCCSsS9D include time-1.12.2 include transformers-0.5.6.2 include type-errors-0.2.0.2-6hYqU0bvUla2e21A3XXkcd include uniplate-1.6.13-HbFY80xZVh6EFwRLGUsRwB include vector-0.13.1.0-E4LTjcK991m18cbKZhUjhX Clash.Annotations.BitRepresentation=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.BitRepresentation,Clash.Annotations.BitRepresentation.Deriving=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.BitRepresentation.Deriving,Clash.Annotations.BitRepresentation.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.BitRepresentation.Internal,Clash.Annotations.BitRepresentation.Util=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.BitRepresentation.Util,Clash.Annotations.Primitive=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.Primitive,Clash.Annotations.SynthesisAttributes=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.SynthesisAttributes,Clash.Annotations.TH=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.TH,Clash.Annotations.TopEntity=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Annotations.TopEntity,Clash.Class.AutoReg=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.AutoReg,Clash.Class.AutoReg.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.AutoReg.Internal,Clash.Class.BitPack=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.BitPack,Clash.Class.BitPack.BitIndex=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.BitPack.BitIndex,Clash.Class.BitPack.BitReduction=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.BitPack.BitReduction,Clash.Class.BitPack.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.BitPack.Internal,Clash.Class.BitPack.Internal.TH=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.BitPack.Internal.TH,Clash.Class.Counter=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Counter,Clash.Class.Counter.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Counter.Internal,Clash.Class.Counter.TH=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Counter.TH,Clash.Class.Exp=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Exp,Clash.Class.HasDomain=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.HasDomain,Clash.Class.HasDomain.CodeGen=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.HasDomain.CodeGen,Clash.Class.HasDomain.Common=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.HasDomain.Common,Clash.Class.HasDomain.HasSingleDomain=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.HasDomain.HasSingleDomain,Clash.Class.HasDomain.HasSpecificDomain=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.HasDomain.HasSpecificDomain,Clash.Class.Num=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Num,Clash.Class.Parity=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Parity,Clash.Class.Resize=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Class.Resize,Clash.Clocks=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Clocks,Clash.Examples=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Examples,Clash.Examples.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Examples.Internal,Clash.Explicit.BlockRam=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.BlockRam,Clash.Explicit.BlockRam.Blob=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.BlockRam.Blob,Clash.Explicit.BlockRam.File=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.BlockRam.File,Clash.Explicit.BlockRam.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.BlockRam.Internal,Clash.Explicit.BlockRam.Model=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.BlockRam.Model,Clash.Explicit.DDR=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.DDR,Clash.Explicit.Mealy=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Mealy,Clash.Explicit.Moore=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Moore,Clash.Explicit.Prelude=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Prelude,Clash.Explicit.Prelude.Safe=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Prelude.Safe,Clash.Explicit.RAM=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.RAM,Clash.Explicit.ROM=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.ROM,Clash.Explicit.ROM.Blob=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.ROM.Blob,Clash.Explicit.ROM.File=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.ROM.File,Clash.Explicit.Reset=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Reset,Clash.Explicit.Signal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Signal,Clash.Explicit.Signal.Delayed=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Signal.Delayed,Clash.Explicit.SimIO=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.SimIO,Clash.Explicit.Synchronizer=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Synchronizer,Clash.Explicit.Testbench=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Testbench,Clash.Explicit.Verification=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Explicit.Verification,Clash.HaskellPrelude=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.HaskellPrelude,Clash.Hidden=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Hidden,Clash.Intel.ClockGen=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Intel.ClockGen,Clash.Intel.DDR=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Intel.DDR,Clash.Magic=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Magic,Clash.NamedTypes=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.NamedTypes,Clash.Num.Erroring=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Num.Erroring,Clash.Num.Overflowing=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Num.Overflowing,Clash.Num.Saturating=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Num.Saturating,Clash.Num.Wrapping=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Num.Wrapping,Clash.Num.Zeroing=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Num.Zeroing,Clash.Prelude=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude,Clash.Prelude.BlockRam=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.BlockRam,Clash.Prelude.BlockRam.Blob=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.BlockRam.Blob,Clash.Prelude.BlockRam.File=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.BlockRam.File,Clash.Prelude.DataFlow=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.DataFlow,Clash.Prelude.Mealy=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.Mealy,Clash.Prelude.Moore=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.Moore,Clash.Prelude.RAM=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.RAM,Clash.Prelude.ROM=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.ROM,Clash.Prelude.ROM.Blob=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.ROM.Blob,Clash.Prelude.ROM.File=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.ROM.File,Clash.Prelude.Safe=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.Safe,Clash.Prelude.Testbench=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Prelude.Testbench,Clash.Promoted.Nat=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Promoted.Nat,Clash.Promoted.Nat.Literals=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Promoted.Nat.Literals,Clash.Promoted.Nat.TH=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Promoted.Nat.TH,Clash.Promoted.Nat.Unsafe=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Promoted.Nat.Unsafe,Clash.Promoted.Symbol=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Promoted.Symbol,Clash.Signal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal,Clash.Signal.BiSignal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.BiSignal,Clash.Signal.Bundle=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Bundle,Clash.Signal.Delayed=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Delayed,Clash.Signal.Delayed.Bundle=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Delayed.Bundle,Clash.Signal.Delayed.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Delayed.Internal,Clash.Signal.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Internal,Clash.Signal.Internal.Ambiguous=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Internal.Ambiguous,Clash.Signal.Trace=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Signal.Trace,Clash.Sized.BitVector=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.BitVector,Clash.Sized.Fixed=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Fixed,Clash.Sized.Index=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Index,Clash.Sized.Internal.BitVector=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Internal.BitVector,Clash.Sized.Internal.Index=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Internal.Index,Clash.Sized.Internal.Mod=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Internal.Mod,Clash.Sized.Internal.Signed=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Internal.Signed,Clash.Sized.Internal.Unsigned=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Internal.Unsigned,Clash.Sized.RTree=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.RTree,Clash.Sized.Signed=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Signed,Clash.Sized.Unsigned=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Unsigned,Clash.Sized.Vector=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Sized.Vector,Clash.Tutorial=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Tutorial,Clash.Verification=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Verification,Clash.Verification.DSL=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Verification.DSL,Clash.Verification.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Verification.Internal,Clash.XException=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.XException,Clash.XException.Internal=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.XException.Internal,Clash.XException.MaybeX=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.XException.MaybeX,Clash.XException.TH=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.XException.TH,Clash.Xilinx.ClockGen=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Xilinx.ClockGen,Clash.Xilinx.DDR=clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S:Clash.Xilinx.DDR unit clash-prelude-1.8.1-I8aIaQVtHitDWUsuzk8Xg9-unittests include base-4.17.2.0 include bytestring-0.11.5.2 include clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S include deepseq-1.4.8.0 include ghc-typelits-extra-0.4.6-JvEL6iN5fY83uVSLtiPdYr include ghc-typelits-knownnat-0.7.9-3n5GnDWtiquBmcLeZtkNww include ghc-typelits-natnormalise-0.7.9-7wMwVssZWV97vTrkDC3ywH include hedgehog-1.2-7qvIupQYHA5CLp5Tw3i8P include hint-0.9.0.7-5iGaXx3CoAKERLnUH7t1lY include quickcheck-classes-base-0.6.2.0-LRP4byNLAxqBCGInQnniwo include tasty-1.4.3-Jw0iYFrsdkg7Tjf4A7fnBL include tasty-hedgehog-1.4.0.1-1ZMaEAONwC6CLxp23x7q9v include tasty-hunit-0.10.1-DigS6vRa7oiLLQpAzsAtbP include tasty-quickcheck-0.10.2-7Ze6A7P6YtSE4O0CXDR3YM include tasty-th-0.1.7-7gth1O9XmUM4LoILMsTwWW include template-haskell-2.19.0.0 Ready component graph: definite clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S depends QuickCheck-2.14.3-BmeqZc5o1KN1SLTJnQ4VO3 depends array-0.5.4.0 depends arrows-0.4.4.2-Kgc5Qp4tyDB8I0Jspby6RN depends base-4.17.2.0 depends binary-0.8.9.1 depends bytestring-0.11.5.2 depends constraints-0.13.4-52YLcb21iPS5soAVaAJGoV depends containers-0.6.7 depends data-binary-ieee754-0.4.4-BrYPwMWzk237ThKnypNrAu depends data-default-class-0.1.2.0-HWomeRk5e2p3WGQsDTnuAN depends deepseq-1.4.8.0 depends extra-1.7.14-DfcUn0kURmM7zhMG6RTp91 depends ghc-bignum-1.3 depends ghc-prim-0.9.1 depends ghc-typelits-extra-0.4.6-JvEL6iN5fY83uVSLtiPdYr depends ghc-typelits-knownnat-0.7.9-3n5GnDWtiquBmcLeZtkNww depends ghc-typelits-natnormalise-0.7.9-7wMwVssZWV97vTrkDC3ywH depends half-0.3.1-DAeDxlE59218ih3qhvXqXy depends hashable-1.4.3.0-5Qah7zM9DI77czw44bVGqg depends infinite-list-0.1-G6sMZgnPW3RK0hRNnHWuEC depends lens-5.2.3-8TCaz0QMVgsJ9hlUL16Ksq depends mtl-2.2.2 depends recursion-schemes-5.2.2.4-52hVc78VbhB4ZQJQU4J1l3 depends reflection-2.1.7-G9s0ta64nF9AlDNNjOk9hz depends singletons-3.0.2-3DcR4SWVUp79j2YXjDDaPv depends string-interpolate-0.3.2.1-7RxMkbObdqm8Ke83Lg4ljN depends template-haskell-2.19.0.0 depends text-2.0.2 depends th-abstraction-0.4.5.0-CynkUuS8OuAKbGjDnje1Fs depends th-lift-0.8.4-Hb96g2HGtQyHkKuMt8Wl3a depends th-orphans-0.13.14-DohaPw08T4B8qGhCCSsS9D depends time-1.12.2 depends transformers-0.5.6.2 depends type-errors-0.2.0.2-6hYqU0bvUla2e21A3XXkcd depends uniplate-1.6.13-HbFY80xZVh6EFwRLGUsRwB depends vector-0.13.1.0-E4LTjcK991m18cbKZhUjhX definite clash-prelude-1.8.1-I8aIaQVtHitDWUsuzk8Xg9-unittests depends base-4.17.2.0 depends bytestring-0.11.5.2 depends clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S depends deepseq-1.4.8.0 depends ghc-typelits-extra-0.4.6-JvEL6iN5fY83uVSLtiPdYr depends ghc-typelits-knownnat-0.7.9-3n5GnDWtiquBmcLeZtkNww depends ghc-typelits-natnormalise-0.7.9-7wMwVssZWV97vTrkDC3ywH depends hedgehog-1.2-7qvIupQYHA5CLp5Tw3i8P depends hint-0.9.0.7-5iGaXx3CoAKERLnUH7t1lY depends quickcheck-classes-base-0.6.2.0-LRP4byNLAxqBCGInQnniwo depends tasty-1.4.3-Jw0iYFrsdkg7Tjf4A7fnBL depends tasty-hedgehog-1.4.0.1-1ZMaEAONwC6CLxp23x7q9v depends tasty-hunit-0.10.1-DigS6vRa7oiLLQpAzsAtbP depends tasty-quickcheck-0.10.2-7Ze6A7P6YtSE4O0CXDR3YM depends tasty-th-0.1.7-7gth1O9XmUM4LoILMsTwWW depends template-haskell-2.19.0.0 Using Cabal-3.8.1.0 compiled by ghc-9.4 Using compiler: ghc-9.4.7 Using install prefix: /usr Executables installed in: /usr/bin Libraries installed in: /usr/lib/haskell-packages/ghc/lib/x86_64-linux-ghc-9.4.7/clash-prelude-1.8.1-D6ZJrPC8DZbCtAfsqvrK8S Dynamic Libraries installed in: /usr/lib/haskell-packages/ghc/lib/x86_64-linux-ghc-9.4.7 Private executables installed in: /usr/lib/x86_64-linux-ghc-9.4.7/clash-prelude-1.8.1 Data files installed in: /usr/share/clash-prelude Documentation installed in: /usr/share/doc/x86_64-linux-ghc-9.4.7/clash-prelude-1.8.1 Configuration files installed in: /usr/etc No alex found Using ar found on system at: /usr/bin/x86_64-linux-gnu-ar No c2hs found No cpphs found No doctest found Using gcc version 13 found on system at: /usr/bin/x86_64-linux-gnu-gcc Using ghc version 9.4.7 found on system at: /usr/bin/ghc Using ghc-pkg version 9.4.7 found on system at: /usr/bin/ghc-pkg-9.4.7 No ghcjs found No ghcjs-pkg found No greencard found Using haddock version 2.27.0 found on system at: /usr/bin/haddock-ghc-9.4.7 No happy found Using haskell-suite found on system at: haskell-suite-dummy-location Using haskell-suite-pkg found on system at: haskell-suite-pkg-dummy-location No hmake found Using hpc version 0.68 found on system at: /usr/bin/hpc-ghc-9.4.7 Using hsc2hs version 0.68.8 found on system at: /usr/bin/hsc2hs-ghc-9.4.7 No hscolour found No jhc found Using ld found on system at: /usr/bin/x86_64-linux-gnu-ld.gold No pkg-config found Using runghc version 9.4.7 found on system at: /usr/bin/runghc-9.4.7 Using strip version 2.42 found on system at: /usr/bin/strip Using tar found on system at: /usr/bin/tar No uhc found touch configure-ghc-stamp perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'build_recipe' Running dh_listpackages libghc-clash-prelude-dev libghc-clash-prelude-prof libghc-clash-prelude-doc Preprocessing library for clash-prelude-1.8.1.. Building library for clash-prelude-1.8.1.. [ 1 of 121] Compiling Clash.Annotations.BitRepresentation ( src/Clash/Annotations/BitRepresentation.hs, dist-ghc/build/Clash/Annotations/BitRepresentation.o, dist-ghc/build/Clash/Annotations/BitRepresentation.dyn_o ) [ 2 of 121] Compiling Clash.Annotations.BitRepresentation.Internal ( src/Clash/Annotations/BitRepresentation/Internal.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Internal.o, dist-ghc/build/Clash/Annotations/BitRepresentation/Internal.dyn_o ) [ 3 of 121] Compiling Clash.Annotations.BitRepresentation.Util ( src/Clash/Annotations/BitRepresentation/Util.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Util.o, dist-ghc/build/Clash/Annotations/BitRepresentation/Util.dyn_o ) [ 4 of 121] Compiling Clash.Annotations.Primitive ( src/Clash/Annotations/Primitive.hs, dist-ghc/build/Clash/Annotations/Primitive.o, dist-ghc/build/Clash/Annotations/Primitive.dyn_o ) [ 5 of 121] Compiling Clash.CPP ( src/Clash/CPP.hs, dist-ghc/build/Clash/CPP.o, dist-ghc/build/Clash/CPP.dyn_o ) [ 6 of 121] Compiling Clash.Class.Counter.TH ( src/Clash/Class/Counter/TH.hs, dist-ghc/build/Clash/Class/Counter/TH.o, dist-ghc/build/Clash/Class/Counter/TH.dyn_o ) [ 7 of 121] Compiling Clash.Class.HasDomain.Common ( src/Clash/Class/HasDomain/Common.hs, dist-ghc/build/Clash/Class/HasDomain/Common.o, dist-ghc/build/Clash/Class/HasDomain/Common.dyn_o ) [ 8 of 121] Compiling Clash.Class.Num ( src/Clash/Class/Num.hs, dist-ghc/build/Clash/Class/Num.o, dist-ghc/build/Clash/Class/Num.dyn_o ) [ 9 of 121] Compiling Clash.Class.Resize ( src/Clash/Class/Resize.hs, dist-ghc/build/Clash/Class/Resize.o, dist-ghc/build/Clash/Class/Resize.dyn_o ) [ 10 of 121] Compiling Clash.HaskellPrelude ( src/Clash/HaskellPrelude.hs, dist-ghc/build/Clash/HaskellPrelude.o, dist-ghc/build/Clash/HaskellPrelude.dyn_o ) [ 11 of 121] Compiling Clash.Hidden ( src/Clash/Hidden.hs, dist-ghc/build/Clash/Hidden.o, dist-ghc/build/Clash/Hidden.dyn_o ) [ 12 of 121] Compiling Clash.NamedTypes ( src/Clash/NamedTypes.hs, dist-ghc/build/Clash/NamedTypes.o, dist-ghc/build/Clash/NamedTypes.dyn_o ) [ 13 of 121] Compiling Clash.Promoted.Symbol ( src/Clash/Promoted/Symbol.hs, dist-ghc/build/Clash/Promoted/Symbol.o, dist-ghc/build/Clash/Promoted/Symbol.dyn_o ) [ 14 of 121] Compiling Clash.Magic ( src/Clash/Magic.hs, dist-ghc/build/Clash/Magic.o, dist-ghc/build/Clash/Magic.dyn_o ) [ 15 of 121] Compiling Clash.Sized.Internal.Mod ( src/Clash/Sized/Internal/Mod.hs, dist-ghc/build/Clash/Sized/Internal/Mod.o, dist-ghc/build/Clash/Sized/Internal/Mod.dyn_o ) [ 16 of 121] Compiling Language.Haskell.TH.Compat ( src/Language/Haskell/TH/Compat.hs, dist-ghc/build/Language/Haskell/TH/Compat.o, dist-ghc/build/Language/Haskell/TH/Compat.dyn_o ) [ 17 of 121] Compiling Clash.XException.TH ( src/Clash/XException/TH.hs, dist-ghc/build/Clash/XException/TH.o, dist-ghc/build/Clash/XException/TH.dyn_o ) [ 18 of 121] Compiling Clash.XException[boot] ( src/Clash/XException.hs-boot, dist-ghc/build/Clash/XException.o-boot, dist-ghc/build/Clash/XException.dyn_o-boot ) [ 19 of 121] Compiling Clash.XException.Internal ( src/Clash/XException/Internal.hs, dist-ghc/build/Clash/XException/Internal.o, dist-ghc/build/Clash/XException/Internal.dyn_o ) [ 20 of 121] Compiling Clash.XException ( src/Clash/XException.hs, dist-ghc/build/Clash/XException.o, dist-ghc/build/Clash/XException.dyn_o ) [ 21 of 121] Compiling Clash.XException.MaybeX ( src/Clash/XException/MaybeX.hs, dist-ghc/build/Clash/XException/MaybeX.o, dist-ghc/build/Clash/XException/MaybeX.dyn_o ) [ 22 of 121] Compiling Clash.Promoted.Nat ( src/Clash/Promoted/Nat.hs, dist-ghc/build/Clash/Promoted/Nat.o, dist-ghc/build/Clash/Promoted/Nat.dyn_o ) [ 23 of 121] Compiling Clash.Signal.Internal ( src/Clash/Signal/Internal.hs, dist-ghc/build/Clash/Signal/Internal.o, dist-ghc/build/Clash/Signal/Internal.dyn_o ) [ 24 of 121] Compiling Clash.Verification.Internal ( src/Clash/Verification/Internal.hs, dist-ghc/build/Clash/Verification/Internal.o, dist-ghc/build/Clash/Verification/Internal.dyn_o ) [ 25 of 121] Compiling Clash.Signal.Internal.Ambiguous ( src/Clash/Signal/Internal/Ambiguous.hs, dist-ghc/build/Clash/Signal/Internal/Ambiguous.o, dist-ghc/build/Clash/Signal/Internal/Ambiguous.dyn_o ) [ 26 of 121] Compiling Clash.Signal.Bundle.Internal ( src/Clash/Signal/Bundle/Internal.hs, dist-ghc/build/Clash/Signal/Bundle/Internal.o, dist-ghc/build/Clash/Signal/Bundle/Internal.dyn_o ) [ 27 of 121] Compiling Clash.Explicit.Verification ( src/Clash/Explicit/Verification.hs, dist-ghc/build/Clash/Explicit/Verification.o, dist-ghc/build/Clash/Explicit/Verification.dyn_o ) [ 28 of 121] Compiling Clash.Signal.Delayed.Internal ( src/Clash/Signal/Delayed/Internal.hs, dist-ghc/build/Clash/Signal/Delayed/Internal.o, dist-ghc/build/Clash/Signal/Delayed/Internal.dyn_o ) [ 29 of 121] Compiling Clash.Promoted.Nat.Unsafe ( src/Clash/Promoted/Nat/Unsafe.hs, dist-ghc/build/Clash/Promoted/Nat/Unsafe.o, dist-ghc/build/Clash/Promoted/Nat/Unsafe.dyn_o ) [ 30 of 121] Compiling Clash.Promoted.Nat.TH ( src/Clash/Promoted/Nat/TH.hs, dist-ghc/build/Clash/Promoted/Nat/TH.o, dist-ghc/build/Clash/Promoted/Nat/TH.dyn_o ) [ 31 of 121] Compiling Clash.Promoted.Nat.Literals ( src/Clash/Promoted/Nat/Literals.hs, dist-ghc/build/Clash/Promoted/Nat/Literals.o, dist-ghc/build/Clash/Promoted/Nat/Literals.dyn_o ) [ 32 of 121] Compiling Clash.Class.HasDomain.CodeGen ( src/Clash/Class/HasDomain/CodeGen.hs, dist-ghc/build/Clash/Class/HasDomain/CodeGen.o, dist-ghc/build/Clash/Class/HasDomain/CodeGen.dyn_o ) [ 33 of 121] Compiling Clash.Class.BitPack.Internal.TH ( src/Clash/Class/BitPack/Internal/TH.hs, dist-ghc/build/Clash/Class/BitPack/Internal/TH.o, dist-ghc/build/Clash/Class/BitPack/Internal/TH.dyn_o ) [ 34 of 121] Compiling Clash.Sized.Internal.Index[boot] ( src/Clash/Sized/Internal/Index.hs-boot, dist-ghc/build/Clash/Sized/Internal/Index.o-boot, dist-ghc/build/Clash/Sized/Internal/Index.dyn_o-boot ) [ 35 of 121] Compiling Clash.Sized.Internal.BitVector[boot] ( src/Clash/Sized/Internal/BitVector.hs-boot, dist-ghc/build/Clash/Sized/Internal/BitVector.o-boot, dist-ghc/build/Clash/Sized/Internal/BitVector.dyn_o-boot ) [ 36 of 121] Compiling Clash.Sized.Vector[boot] ( src/Clash/Sized/Vector.hs-boot, dist-ghc/build/Clash/Sized/Vector.o-boot, dist-ghc/build/Clash/Sized/Vector.dyn_o-boot ) [ 37 of 121] Compiling Clash.Sized.Internal.BitVector ( src/Clash/Sized/Internal/BitVector.hs, dist-ghc/build/Clash/Sized/Internal/BitVector.o, dist-ghc/build/Clash/Sized/Internal/BitVector.dyn_o ) [ 38 of 121] Compiling Clash.Class.BitPack.Internal ( src/Clash/Class/BitPack/Internal.hs, dist-ghc/build/Clash/Class/BitPack/Internal.o, dist-ghc/build/Clash/Class/BitPack/Internal.dyn_o ) [ 39 of 121] Compiling Clash.Class.BitPack.BitReduction ( src/Clash/Class/BitPack/BitReduction.hs, dist-ghc/build/Clash/Class/BitPack/BitReduction.o, dist-ghc/build/Clash/Class/BitPack/BitReduction.dyn_o ) [ 40 of 121] Compiling Clash.Class.BitPack.BitIndex ( src/Clash/Class/BitPack/BitIndex.hs, dist-ghc/build/Clash/Class/BitPack/BitIndex.o, dist-ghc/build/Clash/Class/BitPack/BitIndex.dyn_o ) [ 41 of 121] Compiling Clash.Class.BitPack ( src/Clash/Class/BitPack.hs, dist-ghc/build/Clash/Class/BitPack.o, dist-ghc/build/Clash/Class/BitPack.dyn_o ) [ 42 of 121] Compiling Clash.Class.Parity ( src/Clash/Class/Parity.hs, dist-ghc/build/Clash/Class/Parity.o, dist-ghc/build/Clash/Class/Parity.dyn_o ) [ 43 of 121] Compiling Clash.Sized.Internal.Index ( src/Clash/Sized/Internal/Index.hs, dist-ghc/build/Clash/Sized/Internal/Index.o, dist-ghc/build/Clash/Sized/Internal/Index.dyn_o ) [ 44 of 121] Compiling Clash.Sized.Index ( src/Clash/Sized/Index.hs, dist-ghc/build/Clash/Sized/Index.o, dist-ghc/build/Clash/Sized/Index.dyn_o ) [ 45 of 121] Compiling Clash.Sized.Vector ( src/Clash/Sized/Vector.hs, dist-ghc/build/Clash/Sized/Vector.o, dist-ghc/build/Clash/Sized/Vector.dyn_o ) [ 46 of 121] Compiling Clash.Sized.BitVector ( src/Clash/Sized/BitVector.hs, dist-ghc/build/Clash/Sized/BitVector.o, dist-ghc/build/Clash/Sized/BitVector.dyn_o ) [ 47 of 121] Compiling Clash.Explicit.BlockRam.Internal ( src/Clash/Explicit/BlockRam/Internal.hs, dist-ghc/build/Clash/Explicit/BlockRam/Internal.o, dist-ghc/build/Clash/Explicit/BlockRam/Internal.dyn_o ) [ 48 of 121] Compiling Clash.Sized.Internal.Unsigned ( src/Clash/Sized/Internal/Unsigned.hs, dist-ghc/build/Clash/Sized/Internal/Unsigned.o, dist-ghc/build/Clash/Sized/Internal/Unsigned.dyn_o ) [ 49 of 121] Compiling Clash.Sized.Unsigned ( src/Clash/Sized/Unsigned.hs, dist-ghc/build/Clash/Sized/Unsigned.o, dist-ghc/build/Clash/Sized/Unsigned.dyn_o ) [ 50 of 121] Compiling Clash.Sized.Internal.Signed ( src/Clash/Sized/Internal/Signed.hs, dist-ghc/build/Clash/Sized/Internal/Signed.o, dist-ghc/build/Clash/Sized/Internal/Signed.dyn_o ) [ 51 of 121] Compiling Clash.Sized.Signed ( src/Clash/Sized/Signed.hs, dist-ghc/build/Clash/Sized/Signed.o, dist-ghc/build/Clash/Sized/Signed.dyn_o ) [ 52 of 121] Compiling Clash.Sized.Fixed ( src/Clash/Sized/Fixed.hs, dist-ghc/build/Clash/Sized/Fixed.o, dist-ghc/build/Clash/Sized/Fixed.dyn_o ) [ 53 of 121] Compiling Clash.Explicit.SimIO ( src/Clash/Explicit/SimIO.hs, dist-ghc/build/Clash/Explicit/SimIO.o, dist-ghc/build/Clash/Explicit/SimIO.dyn_o ) [ 54 of 121] Compiling Clash.Explicit.ROM ( src/Clash/Explicit/ROM.hs, dist-ghc/build/Clash/Explicit/ROM.o, dist-ghc/build/Clash/Explicit/ROM.dyn_o ) [ 55 of 121] Compiling Clash.Class.HasDomain.HasSpecificDomain ( src/Clash/Class/HasDomain/HasSpecificDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSpecificDomain.o, dist-ghc/build/Clash/Class/HasDomain/HasSpecificDomain.dyn_o ) [ 56 of 121] Compiling Clash.Annotations.SynthesisAttributes ( src/Clash/Annotations/SynthesisAttributes.hs, dist-ghc/build/Clash/Annotations/SynthesisAttributes.o, dist-ghc/build/Clash/Annotations/SynthesisAttributes.dyn_o ) [ 57 of 121] Compiling Clash.Sized.RTree ( src/Clash/Sized/RTree.hs, dist-ghc/build/Clash/Sized/RTree.o, dist-ghc/build/Clash/Sized/RTree.dyn_o ) [ 58 of 121] Compiling Clash.Signal.Bundle ( src/Clash/Signal/Bundle.hs, dist-ghc/build/Clash/Signal/Bundle.o, dist-ghc/build/Clash/Signal/Bundle.dyn_o ) [ 59 of 121] Compiling Clash.Explicit.BlockRam.File ( src/Clash/Explicit/BlockRam/File.hs, dist-ghc/build/Clash/Explicit/BlockRam/File.o, dist-ghc/build/Clash/Explicit/BlockRam/File.dyn_o ) [ 60 of 121] Compiling Clash.Explicit.BlockRam.Model ( src/Clash/Explicit/BlockRam/Model.hs, dist-ghc/build/Clash/Explicit/BlockRam/Model.o, dist-ghc/build/Clash/Explicit/BlockRam/Model.dyn_o ) [ 61 of 121] Compiling Clash.Class.HasDomain.HasSingleDomain ( src/Clash/Class/HasDomain/HasSingleDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSingleDomain.o, dist-ghc/build/Clash/Class/HasDomain/HasSingleDomain.dyn_o ) [ 62 of 121] Compiling Clash.Class.HasDomain ( src/Clash/Class/HasDomain.hs, dist-ghc/build/Clash/Class/HasDomain.o, dist-ghc/build/Clash/Class/HasDomain.dyn_o ) [ 63 of 121] Compiling Clash.Signal.BiSignal ( src/Clash/Signal/BiSignal.hs, dist-ghc/build/Clash/Signal/BiSignal.o, dist-ghc/build/Clash/Signal/BiSignal.dyn_o ) [ 64 of 121] Compiling Clash.Explicit.Signal ( src/Clash/Explicit/Signal.hs, dist-ghc/build/Clash/Explicit/Signal.o, dist-ghc/build/Clash/Explicit/Signal.dyn_o ) [ 65 of 121] Compiling Clash.Explicit.Signal.Delayed ( src/Clash/Explicit/Signal/Delayed.hs, dist-ghc/build/Clash/Explicit/Signal/Delayed.o, dist-ghc/build/Clash/Explicit/Signal/Delayed.dyn_o ) [ 66 of 121] Compiling Clash.Explicit.ROM.File ( src/Clash/Explicit/ROM/File.hs, dist-ghc/build/Clash/Explicit/ROM/File.o, dist-ghc/build/Clash/Explicit/ROM/File.dyn_o ) [ 67 of 121] Compiling Clash.Explicit.RAM ( src/Clash/Explicit/RAM.hs, dist-ghc/build/Clash/Explicit/RAM.o, dist-ghc/build/Clash/Explicit/RAM.dyn_o ) [ 68 of 121] Compiling Clash.Explicit.Moore ( src/Clash/Explicit/Moore.hs, dist-ghc/build/Clash/Explicit/Moore.o, dist-ghc/build/Clash/Explicit/Moore.dyn_o ) [ 69 of 121] Compiling Clash.Explicit.Mealy ( src/Clash/Explicit/Mealy.hs, dist-ghc/build/Clash/Explicit/Mealy.o, dist-ghc/build/Clash/Explicit/Mealy.dyn_o ) [ 70 of 121] Compiling Clash.Explicit.BlockRam.Blob ( src/Clash/Explicit/BlockRam/Blob.hs, dist-ghc/build/Clash/Explicit/BlockRam/Blob.o, dist-ghc/build/Clash/Explicit/BlockRam/Blob.dyn_o ) [ 71 of 121] Compiling Clash.Explicit.ROM.Blob ( src/Clash/Explicit/ROM/Blob.hs, dist-ghc/build/Clash/Explicit/ROM/Blob.o, dist-ghc/build/Clash/Explicit/ROM/Blob.dyn_o ) [ 72 of 121] Compiling Clash.Explicit.BlockRam ( src/Clash/Explicit/BlockRam.hs, dist-ghc/build/Clash/Explicit/BlockRam.o, dist-ghc/build/Clash/Explicit/BlockRam.dyn_o ) [ 73 of 121] Compiling Clash.Explicit.Synchronizer ( src/Clash/Explicit/Synchronizer.hs, dist-ghc/build/Clash/Explicit/Synchronizer.o, dist-ghc/build/Clash/Explicit/Synchronizer.dyn_o ) [ 74 of 121] Compiling Clash.Explicit.Reset ( src/Clash/Explicit/Reset.hs, dist-ghc/build/Clash/Explicit/Reset.o, dist-ghc/build/Clash/Explicit/Reset.dyn_o ) [ 75 of 121] Compiling Clash.Clocks.Internal ( src/Clash/Clocks/Internal.hs, dist-ghc/build/Clash/Clocks/Internal.o, dist-ghc/build/Clash/Clocks/Internal.dyn_o ) [ 76 of 121] Compiling Clash.Clocks ( src/Clash/Clocks.hs, dist-ghc/build/Clash/Clocks.o, dist-ghc/build/Clash/Clocks.dyn_o ) [ 77 of 121] Compiling Clash.Xilinx.ClockGen ( src/Clash/Xilinx/ClockGen.hs, dist-ghc/build/Clash/Xilinx/ClockGen.o, dist-ghc/build/Clash/Xilinx/ClockGen.dyn_o ) [ 78 of 121] Compiling Clash.Intel.ClockGen ( src/Clash/Intel/ClockGen.hs, dist-ghc/build/Clash/Intel/ClockGen.o, dist-ghc/build/Clash/Intel/ClockGen.dyn_o ) [ 79 of 121] Compiling Clash.Signal ( src/Clash/Signal.hs, dist-ghc/build/Clash/Signal.o, dist-ghc/build/Clash/Signal.dyn_o ) [ 80 of 121] Compiling Clash.Verification ( src/Clash/Verification.hs, dist-ghc/build/Clash/Verification.o, dist-ghc/build/Clash/Verification.dyn_o ) [ 81 of 121] Compiling Clash.Verification.DSL ( src/Clash/Verification/DSL.hs, dist-ghc/build/Clash/Verification/DSL.o, dist-ghc/build/Clash/Verification/DSL.dyn_o ) [ 82 of 121] Compiling Clash.Signal.Delayed ( src/Clash/Signal/Delayed.hs, dist-ghc/build/Clash/Signal/Delayed.o, dist-ghc/build/Clash/Signal/Delayed.dyn_o ) [ 83 of 121] Compiling Clash.Signal.Delayed.Bundle ( src/Clash/Signal/Delayed/Bundle.hs, dist-ghc/build/Clash/Signal/Delayed/Bundle.o, dist-ghc/build/Clash/Signal/Delayed/Bundle.dyn_o ) [ 84 of 121] Compiling Clash.Prelude.ROM.File ( src/Clash/Prelude/ROM/File.hs, dist-ghc/build/Clash/Prelude/ROM/File.o, dist-ghc/build/Clash/Prelude/ROM/File.dyn_o ) [ 85 of 121] Compiling Clash.Prelude.ROM.Blob ( src/Clash/Prelude/ROM/Blob.hs, dist-ghc/build/Clash/Prelude/ROM/Blob.o, dist-ghc/build/Clash/Prelude/ROM/Blob.dyn_o ) [ 86 of 121] Compiling Clash.Prelude.ROM ( src/Clash/Prelude/ROM.hs, dist-ghc/build/Clash/Prelude/ROM.o, dist-ghc/build/Clash/Prelude/ROM.dyn_o ) [ 87 of 121] Compiling Clash.Prelude.RAM ( src/Clash/Prelude/RAM.hs, dist-ghc/build/Clash/Prelude/RAM.o, dist-ghc/build/Clash/Prelude/RAM.dyn_o ) [ 88 of 121] Compiling Clash.Prelude.Moore ( src/Clash/Prelude/Moore.hs, dist-ghc/build/Clash/Prelude/Moore.o, dist-ghc/build/Clash/Prelude/Moore.dyn_o ) [ 89 of 121] Compiling Clash.Prelude.Mealy ( src/Clash/Prelude/Mealy.hs, dist-ghc/build/Clash/Prelude/Mealy.o, dist-ghc/build/Clash/Prelude/Mealy.dyn_o ) [ 90 of 121] Compiling Clash.Prelude.DataFlow ( src/Clash/Prelude/DataFlow.hs, dist-ghc/build/Clash/Prelude/DataFlow.o, dist-ghc/build/Clash/Prelude/DataFlow.dyn_o ) [ 91 of 121] Compiling Clash.Prelude.BlockRam.File ( src/Clash/Prelude/BlockRam/File.hs, dist-ghc/build/Clash/Prelude/BlockRam/File.o, dist-ghc/build/Clash/Prelude/BlockRam/File.dyn_o ) [ 92 of 121] Compiling Clash.Prelude.BlockRam.Blob ( src/Clash/Prelude/BlockRam/Blob.hs, dist-ghc/build/Clash/Prelude/BlockRam/Blob.o, dist-ghc/build/Clash/Prelude/BlockRam/Blob.dyn_o ) [ 93 of 121] Compiling Clash.Prelude.BlockRam ( src/Clash/Prelude/BlockRam.hs, dist-ghc/build/Clash/Prelude/BlockRam.o, dist-ghc/build/Clash/Prelude/BlockRam.dyn_o ) [ 94 of 121] Compiling Clash.Explicit.Testbench ( src/Clash/Explicit/Testbench.hs, dist-ghc/build/Clash/Explicit/Testbench.o, dist-ghc/build/Clash/Explicit/Testbench.dyn_o ) [ 95 of 121] Compiling Clash.Prelude.Testbench ( src/Clash/Prelude/Testbench.hs, dist-ghc/build/Clash/Prelude/Testbench.o, dist-ghc/build/Clash/Prelude/Testbench.dyn_o ) [ 96 of 121] Compiling Clash.Class.Counter.Internal ( src/Clash/Class/Counter/Internal.hs, dist-ghc/build/Clash/Class/Counter/Internal.o, dist-ghc/build/Clash/Class/Counter/Internal.dyn_o ) [ 97 of 121] Compiling Clash.Class.Counter ( src/Clash/Class/Counter.hs, dist-ghc/build/Clash/Class/Counter.o, dist-ghc/build/Clash/Class/Counter.dyn_o ) [ 98 of 121] Compiling Clash.Class.AutoReg.Internal ( src/Clash/Class/AutoReg/Internal.hs, dist-ghc/build/Clash/Class/AutoReg/Internal.o, dist-ghc/build/Clash/Class/AutoReg/Internal.dyn_o ) [ 99 of 121] Compiling Clash.Class.AutoReg.Instances ( src/Clash/Class/AutoReg/Instances.hs, dist-ghc/build/Clash/Class/AutoReg/Instances.o, dist-ghc/build/Clash/Class/AutoReg/Instances.dyn_o ) [100 of 121] Compiling Clash.Class.AutoReg ( src/Clash/Class/AutoReg.hs, dist-ghc/build/Clash/Class/AutoReg.o, dist-ghc/build/Clash/Class/AutoReg.dyn_o ) [101 of 121] Compiling Clash.Class.Exp ( src/Clash/Class/Exp.hs, dist-ghc/build/Clash/Class/Exp.o, dist-ghc/build/Clash/Class/Exp.dyn_o ) [102 of 121] Compiling Clash.Num.Zeroing ( src/Clash/Num/Zeroing.hs, dist-ghc/build/Clash/Num/Zeroing.o, dist-ghc/build/Clash/Num/Zeroing.dyn_o ) [103 of 121] Compiling Clash.Num.Wrapping ( src/Clash/Num/Wrapping.hs, dist-ghc/build/Clash/Num/Wrapping.o, dist-ghc/build/Clash/Num/Wrapping.dyn_o ) [104 of 121] Compiling Clash.Num.Saturating ( src/Clash/Num/Saturating.hs, dist-ghc/build/Clash/Num/Saturating.o, dist-ghc/build/Clash/Num/Saturating.dyn_o ) [105 of 121] Compiling Clash.Num.Overflowing ( src/Clash/Num/Overflowing.hs, dist-ghc/build/Clash/Num/Overflowing.o, dist-ghc/build/Clash/Num/Overflowing.dyn_o ) [106 of 121] Compiling Clash.Num.Erroring ( src/Clash/Num/Erroring.hs, dist-ghc/build/Clash/Num/Erroring.o, dist-ghc/build/Clash/Num/Erroring.dyn_o ) [107 of 121] Compiling Clash.Annotations.TopEntity ( src/Clash/Annotations/TopEntity.hs, dist-ghc/build/Clash/Annotations/TopEntity.o, dist-ghc/build/Clash/Annotations/TopEntity.dyn_o ) [108 of 121] Compiling Clash.Explicit.Prelude.Safe ( src/Clash/Explicit/Prelude/Safe.hs, dist-ghc/build/Clash/Explicit/Prelude/Safe.o, dist-ghc/build/Clash/Explicit/Prelude/Safe.dyn_o ) [109 of 121] Compiling Clash.Prelude.Safe ( src/Clash/Prelude/Safe.hs, dist-ghc/build/Clash/Prelude/Safe.o, dist-ghc/build/Clash/Prelude/Safe.dyn_o ) [110 of 121] Compiling Clash.Annotations.TH ( src/Clash/Annotations/TH.hs, dist-ghc/build/Clash/Annotations/TH.o, dist-ghc/build/Clash/Annotations/TH.dyn_o ) [111 of 121] Compiling Clash.Annotations.BitRepresentation.Deriving ( src/Clash/Annotations/BitRepresentation/Deriving.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Deriving.o, dist-ghc/build/Clash/Annotations/BitRepresentation/Deriving.dyn_o ) [112 of 121] Compiling Paths_clash_prelude ( dist-ghc/build/autogen/Paths_clash_prelude.hs, dist-ghc/build/Paths_clash_prelude.o, dist-ghc/build/Paths_clash_prelude.dyn_o ) [113 of 121] Compiling Clash.Signal.Trace ( src/Clash/Signal/Trace.hs, dist-ghc/build/Clash/Signal/Trace.o, dist-ghc/build/Clash/Signal/Trace.dyn_o ) [114 of 121] Compiling Clash.Explicit.Prelude ( src/Clash/Explicit/Prelude.hs, dist-ghc/build/Clash/Explicit/Prelude.o, dist-ghc/build/Clash/Explicit/Prelude.dyn_o ) [115 of 121] Compiling Clash.Prelude ( src/Clash/Prelude.hs, dist-ghc/build/Clash/Prelude.o, dist-ghc/build/Clash/Prelude.dyn_o ) [116 of 121] Compiling Clash.Tutorial ( src/Clash/Tutorial.hs, dist-ghc/build/Clash/Tutorial.o, dist-ghc/build/Clash/Tutorial.dyn_o ) [117 of 121] Compiling Clash.Examples.Internal ( src/Clash/Examples/Internal.hs, dist-ghc/build/Clash/Examples/Internal.o, dist-ghc/build/Clash/Examples/Internal.dyn_o ) [118 of 121] Compiling Clash.Examples ( src/Clash/Examples.hs, dist-ghc/build/Clash/Examples.o, dist-ghc/build/Clash/Examples.dyn_o ) [119 of 121] Compiling Clash.Explicit.DDR ( src/Clash/Explicit/DDR.hs, dist-ghc/build/Clash/Explicit/DDR.o, dist-ghc/build/Clash/Explicit/DDR.dyn_o ) [120 of 121] Compiling Clash.Xilinx.DDR ( src/Clash/Xilinx/DDR.hs, dist-ghc/build/Clash/Xilinx/DDR.o, dist-ghc/build/Clash/Xilinx/DDR.dyn_o ) [121 of 121] Compiling Clash.Intel.DDR ( src/Clash/Intel/DDR.hs, dist-ghc/build/Clash/Intel/DDR.o, dist-ghc/build/Clash/Intel/DDR.dyn_o ) [ 1 of 121] Compiling Clash.Annotations.BitRepresentation ( src/Clash/Annotations/BitRepresentation.hs, dist-ghc/build/Clash/Annotations/BitRepresentation.p_o ) [ 2 of 121] Compiling Clash.Annotations.BitRepresentation.Internal ( src/Clash/Annotations/BitRepresentation/Internal.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Internal.p_o ) [ 3 of 121] Compiling Clash.Annotations.BitRepresentation.Util ( src/Clash/Annotations/BitRepresentation/Util.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Util.p_o ) [ 4 of 121] Compiling Clash.Annotations.Primitive ( src/Clash/Annotations/Primitive.hs, dist-ghc/build/Clash/Annotations/Primitive.p_o ) [ 5 of 121] Compiling Clash.CPP ( src/Clash/CPP.hs, dist-ghc/build/Clash/CPP.p_o ) [ 6 of 121] Compiling Clash.Class.Counter.TH ( src/Clash/Class/Counter/TH.hs, dist-ghc/build/Clash/Class/Counter/TH.p_o ) [ 7 of 121] Compiling Clash.Class.HasDomain.Common ( src/Clash/Class/HasDomain/Common.hs, dist-ghc/build/Clash/Class/HasDomain/Common.p_o ) [ 8 of 121] Compiling Clash.Class.Num ( src/Clash/Class/Num.hs, dist-ghc/build/Clash/Class/Num.p_o ) [ 9 of 121] Compiling Clash.Class.Resize ( src/Clash/Class/Resize.hs, dist-ghc/build/Clash/Class/Resize.p_o ) [ 10 of 121] Compiling Clash.HaskellPrelude ( src/Clash/HaskellPrelude.hs, dist-ghc/build/Clash/HaskellPrelude.p_o ) [ 11 of 121] Compiling Clash.Hidden ( src/Clash/Hidden.hs, dist-ghc/build/Clash/Hidden.p_o ) [ 12 of 121] Compiling Clash.NamedTypes ( src/Clash/NamedTypes.hs, dist-ghc/build/Clash/NamedTypes.p_o ) [ 13 of 121] Compiling Clash.Promoted.Symbol ( src/Clash/Promoted/Symbol.hs, dist-ghc/build/Clash/Promoted/Symbol.p_o ) [ 14 of 121] Compiling Clash.Magic ( src/Clash/Magic.hs, dist-ghc/build/Clash/Magic.p_o ) [ 15 of 121] Compiling Clash.Sized.Internal.Mod ( src/Clash/Sized/Internal/Mod.hs, dist-ghc/build/Clash/Sized/Internal/Mod.p_o ) [ 16 of 121] Compiling Language.Haskell.TH.Compat ( src/Language/Haskell/TH/Compat.hs, dist-ghc/build/Language/Haskell/TH/Compat.p_o ) [ 17 of 121] Compiling Clash.XException.TH ( src/Clash/XException/TH.hs, dist-ghc/build/Clash/XException/TH.p_o ) [ 18 of 121] Compiling Clash.XException[boot] ( src/Clash/XException.hs-boot, dist-ghc/build/Clash/XException.p_o-boot ) [ 19 of 121] Compiling Clash.XException.Internal ( src/Clash/XException/Internal.hs, dist-ghc/build/Clash/XException/Internal.p_o ) [ 20 of 121] Compiling Clash.XException ( src/Clash/XException.hs, dist-ghc/build/Clash/XException.p_o ) [ 21 of 121] Compiling Clash.XException.MaybeX ( src/Clash/XException/MaybeX.hs, dist-ghc/build/Clash/XException/MaybeX.p_o ) [ 22 of 121] Compiling Clash.Promoted.Nat ( src/Clash/Promoted/Nat.hs, dist-ghc/build/Clash/Promoted/Nat.p_o ) [ 23 of 121] Compiling Clash.Signal.Internal ( src/Clash/Signal/Internal.hs, dist-ghc/build/Clash/Signal/Internal.p_o ) [ 24 of 121] Compiling Clash.Verification.Internal ( src/Clash/Verification/Internal.hs, dist-ghc/build/Clash/Verification/Internal.p_o ) [ 25 of 121] Compiling Clash.Signal.Internal.Ambiguous ( src/Clash/Signal/Internal/Ambiguous.hs, dist-ghc/build/Clash/Signal/Internal/Ambiguous.p_o ) [ 26 of 121] Compiling Clash.Signal.Bundle.Internal ( src/Clash/Signal/Bundle/Internal.hs, dist-ghc/build/Clash/Signal/Bundle/Internal.p_o ) [ 27 of 121] Compiling Clash.Explicit.Verification ( src/Clash/Explicit/Verification.hs, dist-ghc/build/Clash/Explicit/Verification.p_o ) [ 28 of 121] Compiling Clash.Signal.Delayed.Internal ( src/Clash/Signal/Delayed/Internal.hs, dist-ghc/build/Clash/Signal/Delayed/Internal.p_o ) [ 29 of 121] Compiling Clash.Promoted.Nat.Unsafe ( src/Clash/Promoted/Nat/Unsafe.hs, dist-ghc/build/Clash/Promoted/Nat/Unsafe.p_o ) [ 30 of 121] Compiling Clash.Promoted.Nat.TH ( src/Clash/Promoted/Nat/TH.hs, dist-ghc/build/Clash/Promoted/Nat/TH.p_o ) [ 31 of 121] Compiling Clash.Promoted.Nat.Literals ( src/Clash/Promoted/Nat/Literals.hs, dist-ghc/build/Clash/Promoted/Nat/Literals.p_o ) [ 32 of 121] Compiling Clash.Class.HasDomain.CodeGen ( src/Clash/Class/HasDomain/CodeGen.hs, dist-ghc/build/Clash/Class/HasDomain/CodeGen.p_o ) [ 33 of 121] Compiling Clash.Class.BitPack.Internal.TH ( src/Clash/Class/BitPack/Internal/TH.hs, dist-ghc/build/Clash/Class/BitPack/Internal/TH.p_o ) [ 34 of 121] Compiling Clash.Sized.Internal.Index[boot] ( src/Clash/Sized/Internal/Index.hs-boot, dist-ghc/build/Clash/Sized/Internal/Index.p_o-boot ) [ 35 of 121] Compiling Clash.Sized.Internal.BitVector[boot] ( src/Clash/Sized/Internal/BitVector.hs-boot, dist-ghc/build/Clash/Sized/Internal/BitVector.p_o-boot ) [ 36 of 121] Compiling Clash.Sized.Vector[boot] ( src/Clash/Sized/Vector.hs-boot, dist-ghc/build/Clash/Sized/Vector.p_o-boot ) [ 37 of 121] Compiling Clash.Sized.Internal.BitVector ( src/Clash/Sized/Internal/BitVector.hs, dist-ghc/build/Clash/Sized/Internal/BitVector.p_o ) [ 38 of 121] Compiling Clash.Class.BitPack.Internal ( src/Clash/Class/BitPack/Internal.hs, dist-ghc/build/Clash/Class/BitPack/Internal.p_o ) [ 39 of 121] Compiling Clash.Class.BitPack.BitReduction ( src/Clash/Class/BitPack/BitReduction.hs, dist-ghc/build/Clash/Class/BitPack/BitReduction.p_o ) [ 40 of 121] Compiling Clash.Class.BitPack.BitIndex ( src/Clash/Class/BitPack/BitIndex.hs, dist-ghc/build/Clash/Class/BitPack/BitIndex.p_o ) [ 41 of 121] Compiling Clash.Class.BitPack ( src/Clash/Class/BitPack.hs, dist-ghc/build/Clash/Class/BitPack.p_o ) [ 42 of 121] Compiling Clash.Class.Parity ( src/Clash/Class/Parity.hs, dist-ghc/build/Clash/Class/Parity.p_o ) [ 43 of 121] Compiling Clash.Sized.Internal.Index ( src/Clash/Sized/Internal/Index.hs, dist-ghc/build/Clash/Sized/Internal/Index.p_o ) [ 44 of 121] Compiling Clash.Sized.Index ( src/Clash/Sized/Index.hs, dist-ghc/build/Clash/Sized/Index.p_o ) [ 45 of 121] Compiling Clash.Sized.Vector ( src/Clash/Sized/Vector.hs, dist-ghc/build/Clash/Sized/Vector.p_o ) [ 46 of 121] Compiling Clash.Sized.BitVector ( src/Clash/Sized/BitVector.hs, dist-ghc/build/Clash/Sized/BitVector.p_o ) [ 47 of 121] Compiling Clash.Explicit.BlockRam.Internal ( src/Clash/Explicit/BlockRam/Internal.hs, dist-ghc/build/Clash/Explicit/BlockRam/Internal.p_o ) [ 48 of 121] Compiling Clash.Sized.Internal.Unsigned ( src/Clash/Sized/Internal/Unsigned.hs, dist-ghc/build/Clash/Sized/Internal/Unsigned.p_o ) [ 49 of 121] Compiling Clash.Sized.Unsigned ( src/Clash/Sized/Unsigned.hs, dist-ghc/build/Clash/Sized/Unsigned.p_o ) [ 50 of 121] Compiling Clash.Sized.Internal.Signed ( src/Clash/Sized/Internal/Signed.hs, dist-ghc/build/Clash/Sized/Internal/Signed.p_o ) [ 51 of 121] Compiling Clash.Sized.Signed ( src/Clash/Sized/Signed.hs, dist-ghc/build/Clash/Sized/Signed.p_o ) [ 52 of 121] Compiling Clash.Sized.Fixed ( src/Clash/Sized/Fixed.hs, dist-ghc/build/Clash/Sized/Fixed.p_o ) [ 53 of 121] Compiling Clash.Explicit.SimIO ( src/Clash/Explicit/SimIO.hs, dist-ghc/build/Clash/Explicit/SimIO.p_o ) [ 54 of 121] Compiling Clash.Explicit.ROM ( src/Clash/Explicit/ROM.hs, dist-ghc/build/Clash/Explicit/ROM.p_o ) [ 55 of 121] Compiling Clash.Class.HasDomain.HasSpecificDomain ( src/Clash/Class/HasDomain/HasSpecificDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSpecificDomain.p_o ) [ 56 of 121] Compiling Clash.Annotations.SynthesisAttributes ( src/Clash/Annotations/SynthesisAttributes.hs, dist-ghc/build/Clash/Annotations/SynthesisAttributes.p_o ) [ 57 of 121] Compiling Clash.Sized.RTree ( src/Clash/Sized/RTree.hs, dist-ghc/build/Clash/Sized/RTree.p_o ) [ 58 of 121] Compiling Clash.Signal.Bundle ( src/Clash/Signal/Bundle.hs, dist-ghc/build/Clash/Signal/Bundle.p_o ) [ 59 of 121] Compiling Clash.Explicit.BlockRam.File ( src/Clash/Explicit/BlockRam/File.hs, dist-ghc/build/Clash/Explicit/BlockRam/File.p_o ) [ 60 of 121] Compiling Clash.Explicit.BlockRam.Model ( src/Clash/Explicit/BlockRam/Model.hs, dist-ghc/build/Clash/Explicit/BlockRam/Model.p_o ) [ 61 of 121] Compiling Clash.Class.HasDomain.HasSingleDomain ( src/Clash/Class/HasDomain/HasSingleDomain.hs, dist-ghc/build/Clash/Class/HasDomain/HasSingleDomain.p_o ) [ 62 of 121] Compiling Clash.Class.HasDomain ( src/Clash/Class/HasDomain.hs, dist-ghc/build/Clash/Class/HasDomain.p_o ) [ 63 of 121] Compiling Clash.Signal.BiSignal ( src/Clash/Signal/BiSignal.hs, dist-ghc/build/Clash/Signal/BiSignal.p_o ) [ 64 of 121] Compiling Clash.Explicit.Signal ( src/Clash/Explicit/Signal.hs, dist-ghc/build/Clash/Explicit/Signal.p_o ) [ 65 of 121] Compiling Clash.Explicit.Signal.Delayed ( src/Clash/Explicit/Signal/Delayed.hs, dist-ghc/build/Clash/Explicit/Signal/Delayed.p_o ) [ 66 of 121] Compiling Clash.Explicit.ROM.File ( src/Clash/Explicit/ROM/File.hs, dist-ghc/build/Clash/Explicit/ROM/File.p_o ) [ 67 of 121] Compiling Clash.Explicit.RAM ( src/Clash/Explicit/RAM.hs, dist-ghc/build/Clash/Explicit/RAM.p_o ) [ 68 of 121] Compiling Clash.Explicit.Moore ( src/Clash/Explicit/Moore.hs, dist-ghc/build/Clash/Explicit/Moore.p_o ) [ 69 of 121] Compiling Clash.Explicit.Mealy ( src/Clash/Explicit/Mealy.hs, dist-ghc/build/Clash/Explicit/Mealy.p_o ) [ 70 of 121] Compiling Clash.Explicit.BlockRam.Blob ( src/Clash/Explicit/BlockRam/Blob.hs, dist-ghc/build/Clash/Explicit/BlockRam/Blob.p_o ) [ 71 of 121] Compiling Clash.Explicit.ROM.Blob ( src/Clash/Explicit/ROM/Blob.hs, dist-ghc/build/Clash/Explicit/ROM/Blob.p_o ) [ 72 of 121] Compiling Clash.Explicit.BlockRam ( src/Clash/Explicit/BlockRam.hs, dist-ghc/build/Clash/Explicit/BlockRam.p_o ) [ 73 of 121] Compiling Clash.Explicit.Synchronizer ( src/Clash/Explicit/Synchronizer.hs, dist-ghc/build/Clash/Explicit/Synchronizer.p_o ) [ 74 of 121] Compiling Clash.Explicit.Reset ( src/Clash/Explicit/Reset.hs, dist-ghc/build/Clash/Explicit/Reset.p_o ) [ 75 of 121] Compiling Clash.Clocks.Internal ( src/Clash/Clocks/Internal.hs, dist-ghc/build/Clash/Clocks/Internal.p_o ) [ 76 of 121] Compiling Clash.Clocks ( src/Clash/Clocks.hs, dist-ghc/build/Clash/Clocks.p_o ) [ 77 of 121] Compiling Clash.Xilinx.ClockGen ( src/Clash/Xilinx/ClockGen.hs, dist-ghc/build/Clash/Xilinx/ClockGen.p_o ) [ 78 of 121] Compiling Clash.Intel.ClockGen ( src/Clash/Intel/ClockGen.hs, dist-ghc/build/Clash/Intel/ClockGen.p_o ) [ 79 of 121] Compiling Clash.Signal ( src/Clash/Signal.hs, dist-ghc/build/Clash/Signal.p_o ) [ 80 of 121] Compiling Clash.Verification ( src/Clash/Verification.hs, dist-ghc/build/Clash/Verification.p_o ) [ 81 of 121] Compiling Clash.Verification.DSL ( src/Clash/Verification/DSL.hs, dist-ghc/build/Clash/Verification/DSL.p_o ) [ 82 of 121] Compiling Clash.Signal.Delayed ( src/Clash/Signal/Delayed.hs, dist-ghc/build/Clash/Signal/Delayed.p_o ) [ 83 of 121] Compiling Clash.Signal.Delayed.Bundle ( src/Clash/Signal/Delayed/Bundle.hs, dist-ghc/build/Clash/Signal/Delayed/Bundle.p_o ) [ 84 of 121] Compiling Clash.Prelude.ROM.File ( src/Clash/Prelude/ROM/File.hs, dist-ghc/build/Clash/Prelude/ROM/File.p_o ) [ 85 of 121] Compiling Clash.Prelude.ROM.Blob ( src/Clash/Prelude/ROM/Blob.hs, dist-ghc/build/Clash/Prelude/ROM/Blob.p_o ) [ 86 of 121] Compiling Clash.Prelude.ROM ( src/Clash/Prelude/ROM.hs, dist-ghc/build/Clash/Prelude/ROM.p_o ) [ 87 of 121] Compiling Clash.Prelude.RAM ( src/Clash/Prelude/RAM.hs, dist-ghc/build/Clash/Prelude/RAM.p_o ) [ 88 of 121] Compiling Clash.Prelude.Moore ( src/Clash/Prelude/Moore.hs, dist-ghc/build/Clash/Prelude/Moore.p_o ) [ 89 of 121] Compiling Clash.Prelude.Mealy ( src/Clash/Prelude/Mealy.hs, dist-ghc/build/Clash/Prelude/Mealy.p_o ) [ 90 of 121] Compiling Clash.Prelude.DataFlow ( src/Clash/Prelude/DataFlow.hs, dist-ghc/build/Clash/Prelude/DataFlow.p_o ) [ 91 of 121] Compiling Clash.Prelude.BlockRam.File ( src/Clash/Prelude/BlockRam/File.hs, dist-ghc/build/Clash/Prelude/BlockRam/File.p_o ) [ 92 of 121] Compiling Clash.Prelude.BlockRam.Blob ( src/Clash/Prelude/BlockRam/Blob.hs, dist-ghc/build/Clash/Prelude/BlockRam/Blob.p_o ) [ 93 of 121] Compiling Clash.Prelude.BlockRam ( src/Clash/Prelude/BlockRam.hs, dist-ghc/build/Clash/Prelude/BlockRam.p_o ) [ 94 of 121] Compiling Clash.Explicit.Testbench ( src/Clash/Explicit/Testbench.hs, dist-ghc/build/Clash/Explicit/Testbench.p_o ) [ 95 of 121] Compiling Clash.Prelude.Testbench ( src/Clash/Prelude/Testbench.hs, dist-ghc/build/Clash/Prelude/Testbench.p_o ) [ 96 of 121] Compiling Clash.Class.Counter.Internal ( src/Clash/Class/Counter/Internal.hs, dist-ghc/build/Clash/Class/Counter/Internal.p_o ) [ 97 of 121] Compiling Clash.Class.Counter ( src/Clash/Class/Counter.hs, dist-ghc/build/Clash/Class/Counter.p_o ) [ 98 of 121] Compiling Clash.Class.AutoReg.Internal ( src/Clash/Class/AutoReg/Internal.hs, dist-ghc/build/Clash/Class/AutoReg/Internal.p_o ) [ 99 of 121] Compiling Clash.Class.AutoReg.Instances ( src/Clash/Class/AutoReg/Instances.hs, dist-ghc/build/Clash/Class/AutoReg/Instances.p_o ) [100 of 121] Compiling Clash.Class.AutoReg ( src/Clash/Class/AutoReg.hs, dist-ghc/build/Clash/Class/AutoReg.p_o ) [101 of 121] Compiling Clash.Class.Exp ( src/Clash/Class/Exp.hs, dist-ghc/build/Clash/Class/Exp.p_o ) [102 of 121] Compiling Clash.Num.Zeroing ( src/Clash/Num/Zeroing.hs, dist-ghc/build/Clash/Num/Zeroing.p_o ) [103 of 121] Compiling Clash.Num.Wrapping ( src/Clash/Num/Wrapping.hs, dist-ghc/build/Clash/Num/Wrapping.p_o ) [104 of 121] Compiling Clash.Num.Saturating ( src/Clash/Num/Saturating.hs, dist-ghc/build/Clash/Num/Saturating.p_o ) [105 of 121] Compiling Clash.Num.Overflowing ( src/Clash/Num/Overflowing.hs, dist-ghc/build/Clash/Num/Overflowing.p_o ) [106 of 121] Compiling Clash.Num.Erroring ( src/Clash/Num/Erroring.hs, dist-ghc/build/Clash/Num/Erroring.p_o ) [107 of 121] Compiling Clash.Annotations.TopEntity ( src/Clash/Annotations/TopEntity.hs, dist-ghc/build/Clash/Annotations/TopEntity.p_o ) [108 of 121] Compiling Clash.Explicit.Prelude.Safe ( src/Clash/Explicit/Prelude/Safe.hs, dist-ghc/build/Clash/Explicit/Prelude/Safe.p_o ) [109 of 121] Compiling Clash.Prelude.Safe ( src/Clash/Prelude/Safe.hs, dist-ghc/build/Clash/Prelude/Safe.p_o ) [110 of 121] Compiling Clash.Annotations.TH ( src/Clash/Annotations/TH.hs, dist-ghc/build/Clash/Annotations/TH.p_o ) [111 of 121] Compiling Clash.Annotations.BitRepresentation.Deriving ( src/Clash/Annotations/BitRepresentation/Deriving.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/Deriving.p_o ) [112 of 121] Compiling Paths_clash_prelude ( dist-ghc/build/autogen/Paths_clash_prelude.hs, dist-ghc/build/Paths_clash_prelude.p_o ) [113 of 121] Compiling Clash.Signal.Trace ( src/Clash/Signal/Trace.hs, dist-ghc/build/Clash/Signal/Trace.p_o ) [114 of 121] Compiling Clash.Explicit.Prelude ( src/Clash/Explicit/Prelude.hs, dist-ghc/build/Clash/Explicit/Prelude.p_o ) [115 of 121] Compiling Clash.Prelude ( src/Clash/Prelude.hs, dist-ghc/build/Clash/Prelude.p_o ) [116 of 121] Compiling Clash.Tutorial ( src/Clash/Tutorial.hs, dist-ghc/build/Clash/Tutorial.p_o ) [117 of 121] Compiling Clash.Examples.Internal ( src/Clash/Examples/Internal.hs, dist-ghc/build/Clash/Examples/Internal.p_o ) [118 of 121] Compiling Clash.Examples ( src/Clash/Examples.hs, dist-ghc/build/Clash/Examples.p_o ) [119 of 121] Compiling Clash.Explicit.DDR ( src/Clash/Explicit/DDR.hs, dist-ghc/build/Clash/Explicit/DDR.p_o ) [120 of 121] Compiling Clash.Xilinx.DDR ( src/Clash/Xilinx/DDR.hs, dist-ghc/build/Clash/Xilinx/DDR.p_o ) [121 of 121] Compiling Clash.Intel.DDR ( src/Clash/Intel/DDR.hs, dist-ghc/build/Clash/Intel/DDR.p_o ) Preprocessing test suite 'unittests' for clash-prelude-1.8.1.. Building test suite 'unittests' for clash-prelude-1.8.1.. [ 1 of 32] Compiling Clash.Tests.AutoReg ( tests/Clash/Tests/AutoReg.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/AutoReg.o ) [ 2 of 32] Compiling Clash.Tests.BitPack ( tests/Clash/Tests/BitPack.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BitPack.o ) [ 3 of 32] Compiling Clash.Tests.BlockRam ( tests/Clash/Tests/BlockRam.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BlockRam.o ) [ 4 of 32] Compiling Clash.Tests.Clocks ( tests/Clash/Tests/Clocks.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Clocks.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Clocks.dyn_o ) [ 5 of 32] Compiling Clash.Tests.DerivingDataReprTypes ( tests/Clash/Tests/DerivingDataReprTypes.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataReprTypes.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataReprTypes.dyn_o ) [ 6 of 32] Compiling Clash.Tests.DerivingDataRepr ( tests/Clash/Tests/DerivingDataRepr.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataRepr.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/DerivingDataRepr.dyn_o ) [ 7 of 32] Compiling Clash.Tests.FixedExhaustive ( tests/Clash/Tests/FixedExhaustive.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/FixedExhaustive.o ) [ 8 of 32] Compiling Clash.Tests.MaybeX ( tests/Clash/Tests/MaybeX.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/MaybeX.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/MaybeX.dyn_o ) [ 9 of 32] Compiling Clash.Tests.NFDataX ( tests/Clash/Tests/NFDataX.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/NFDataX.o ) [10 of 32] Compiling Clash.Tests.Ram ( tests/Clash/Tests/Ram.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Ram.o ) [11 of 32] Compiling Clash.Tests.Reset ( tests/Clash/Tests/Reset.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Reset.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Reset.dyn_o ) [12 of 32] Compiling Clash.Tests.Resize ( tests/Clash/Tests/Resize.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Resize.o ) [13 of 32] Compiling Clash.Tests.Signal ( tests/Clash/Tests/Signal.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Signal.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Signal.dyn_o ) [14 of 32] Compiling Clash.Tests.SizedNum ( tests/Clash/Tests/SizedNum.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/SizedNum.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/SizedNum.dyn_o ) [15 of 32] Compiling Clash.Tests.Signed ( tests/Clash/Tests/Signed.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Signed.o ) [16 of 32] Compiling Clash.Tests.TopEntityGeneration ( tests/Clash/Tests/TopEntityGeneration.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/TopEntityGeneration.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/TopEntityGeneration.dyn_o ) tests/Clash/Tests/TopEntityGeneration.hs:201:1: warning: Make sure HDL port names are correct: Backtracked when constructing Clash.Sized.Vector.Vec (Type appears recursive) | 201 | makeTopEntity 'topEntity7 | ^^^^^^^^^^^^^^^^^^^^^^^^^ tests/Clash/Tests/TopEntityGeneration.hs:201:1: warning: Make sure HDL port names are correct: Backtracked when constructing Clash.Sized.Vector.Vec (Type appears recursive) | 201 | makeTopEntity 'topEntity7 | ^^^^^^^^^^^^^^^^^^^^^^^^^ tests/Clash/Tests/TopEntityGeneration.hs:308:12: warning: Make sure HDL port names are correct: Backtracked when constructing Clash.Sized.Vector.Vec (Type appears recursive) | 308 | $(unTypeQ $ maybeBuildTopEntity Nothing 'topEntity7) | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ tests/Clash/Tests/TopEntityGeneration.hs:308:12: warning: Make sure HDL port names are correct: Backtracked when constructing Clash.Sized.Vector.Vec (Type appears recursive) | 308 | $(unTypeQ $ maybeBuildTopEntity Nothing 'topEntity7) | ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ [17 of 32] Compiling Clash.Tests.Unsigned ( tests/Clash/Tests/Unsigned.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Unsigned.o ) [18 of 32] Compiling Clash.Tests.Vector ( tests/Clash/Tests/Vector.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Vector.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Vector.dyn_o ) [19 of 32] Compiling Hedgehog.Extra ( tests/Hedgehog/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Hedgehog/Extra.o ) [20 of 32] Compiling Test.QuickCheck.Extra ( tests/Test/QuickCheck/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Test/QuickCheck/Extra.o ) [21 of 32] Compiling Test.Tasty.HUnit.Extra ( tests/Test/Tasty/HUnit/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/HUnit/Extra.o, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/HUnit/Extra.dyn_o ) [22 of 32] Compiling Clash.Tests.XException ( tests/Clash/Tests/XException.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/XException.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/XException.dyn_o ) [23 of 32] Compiling Clash.Tests.Laws.Enum ( tests/Clash/Tests/Laws/Enum.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Laws/Enum.o ) [24 of 32] Compiling Test.Tasty.Hedgehog.Extra ( tests/Test/Tasty/Hedgehog/Extra.hs, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/Hedgehog/Extra.o, dist-ghc/build/unittests/unittests-tmp/Test/Tasty/Hedgehog/Extra.dyn_o ) [25 of 32] Compiling Clash.Tests.NumNewtypes ( tests/Clash/Tests/NumNewtypes.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/NumNewtypes.o ) [26 of 32] Compiling Clash.Tests.Laws.SaturatingNum ( tests/Clash/Tests/Laws/SaturatingNum.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Laws/SaturatingNum.o ) [27 of 32] Compiling Clash.Tests.Fixed ( tests/Clash/Tests/Fixed.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Fixed.o ) [28 of 32] Compiling Clash.Tests.Counter ( tests/Clash/Tests/Counter.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Counter.o ) [29 of 32] Compiling Clash.Tests.BlockRam.Blob ( tests/Clash/Tests/BlockRam/Blob.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BlockRam/Blob.o ) [30 of 32] Compiling Clash.Tests.BitVector ( tests/Clash/Tests/BitVector.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BitVector.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/BitVector.dyn_o ) [31 of 32] Compiling Clash.Tests.AsyncFIFOSynchronizer ( tests/Clash/Tests/AsyncFIFOSynchronizer.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/AsyncFIFOSynchronizer.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/AsyncFIFOSynchronizer.dyn_o ) [32 of 32] Compiling Main ( tests/unittests.hs, dist-ghc/build/unittests/unittests-tmp/Main.o ) [33 of 33] Linking dist-ghc/build/unittests/unittests touch build-ghc-stamp perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'check_recipe' Running dh_listpackages libghc-clash-prelude-dev libghc-clash-prelude-prof libghc-clash-prelude-doc Running 1 test suites... Test suite unittests: RUNNING... Unittests asyncFIFOSynchronizer Test 1.1 Read: OK Test 1.1 Write: OK Test 2.1 Read: OK Test 2.1 Write: OK Test 1.2 Read: OK Test 1.2 Write: OK Test 2.2 Read: OK Test 2.2 Write: OK Test 1.3 Read: OK Test 1.3 Write: OK Test 2.3 Read: OK Test 2.3 Write: OK Test 1.4 Read: OK Test 1.4 Write: OK Test 2.4 Read: OK Test 2.4 Write: OK Test 3.5 Read: OK Test 3.5 Write: OK Test 4.5 Read: OK Test 4.5 Write: OK Test 3.6 Read: OK Test 3.6 Write: OK Test 4.6 Read: OK Test 4.6 Write: OK Test 5.7 Read: OK Test 5.7 Write: OK Test 6.7 Read: OK Test 6.7 Write: OK Functional test: OK (0.15s) unittests: : commitBuffer: invalid argument (cannot encode character '\10003') Test suite unittests: FAIL Test suite logged to: dist-ghc/test/clash-prelude-1.8.1-unittests.log 0 of 1 test suites (0 of 1 test cases) passed. -e: error: debian/hlibrary.setup test --builddir=dist-ghc --show-details=direct returned exit code 1 at /usr/share/perl5/Debian/Debhelper/Dh_Lib.pm line 875. Debian::Debhelper::Dh_Lib::error("debian/hlibrary.setup test --builddir=dist-ghc --show-details"...) called at /usr/share/perl5/Debian/Debhelper/Dh_Lib.pm line 614 Debian::Debhelper::Dh_Lib::error_exitcode("debian/hlibrary.setup test --builddir=dist-ghc --show-details"...) called at /usr/share/perl5/Debian/Debhelper/Dh_Lib.pm line 477 Debian::Debhelper::Dh_Lib::doit("debian/hlibrary.setup", "test", "--builddir=dist-ghc", "--show-details=direct") called at /usr/share/perl5/Debian/Debhelper/Buildsystem/Haskell/Recipes.pm line 692 Debian::Debhelper::Buildsystem::Haskell::Recipes::check_recipe() called at -e line 1 make: *** [/usr/share/cdbs/1/class/hlibrary.mk:163: check-ghc-stamp] Error 25 dpkg-buildpackage: error: fakeroot debian/rules binary subprocess returned exit status 2 I: copying local configuration E: Failed autobuilding of package I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/1268769 and its subdirectories Wed May 8 03:17:33 UTC 2024 W: No second build log, what happened?