Thu Aug 12 11:08:52 UTC 2021 I: starting to build iverilog/bullseye/arm64 on jenkins on '2021-08-12 11:08' Thu Aug 12 11:08:52 UTC 2021 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/arm64_32/1156/console.log Thu Aug 12 11:08:52 UTC 2021 I: Downloading source for bullseye/iverilog=11.0-1 --2021-08-12 11:08:52-- http://cdn-fastly.deb.debian.org/debian/pool/main/i/iverilog/iverilog_11.0-1.dsc Connecting to 78.137.99.97:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 2028 (2.0K) Saving to: ‘iverilog_11.0-1.dsc’ 0K . 100% 126M=0s 2021-08-12 11:08:53 (126 MB/s) - ‘iverilog_11.0-1.dsc’ saved [2028/2028] Thu Aug 12 11:08:53 UTC 2021 I: iverilog_11.0-1.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: iverilog Binary: iverilog Architecture: any Version: 11.0-1 Maintainer: Debian Electronics Team Uploaders: أحمد المحمودي (Ahmed El-Mahmoudy) Homepage: http://iverilog.icarus.com Standards-Version: 4.5.0 Vcs-Browser: https://salsa.debian.org/electronics-team/iverilog Vcs-Git: https://salsa.debian.org/electronics-team/iverilog.git Build-Depends: debhelper-compat (= 13), gperf, bison, flex, zlib1g-dev, libbz2-dev, libreadline-dev Package-List: iverilog deb electronics optional arch=any Checksums-Sha1: 12b59fc4af95ab5c73f4d41d9484f16e93c68959 1682457 iverilog_11.0.orig.tar.gz 2bac01732ea3b9a244ebfa68f0ea5ddd18036e36 7120 iverilog_11.0-1.debian.tar.xz Checksums-Sha256: 6327fb900e66b46803d928b7ca439409a0dc32731d82143b20387be0833f1c95 1682457 iverilog_11.0.orig.tar.gz 59b73f996dd69442723e9a64b68a4eaee158db042669ba2fa22b9ae6c92f3038 7120 iverilog_11.0-1.debian.tar.xz Files: 5ce793a194dcd02edea3266a018b7641 1682457 iverilog_11.0.orig.tar.gz 03b772134db7e8a48f91ec62280f85ff 7120 iverilog_11.0-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEEtw38bxNP7PwBHmKqgwFgFCUdHbAFAl93TmUACgkQgwFgFCUd HbAC+g/8C6o8VH8AqiZsrmBldTjRQ5E6PhQRNq2IlFiHGzxlbylFybZRkV65cXsj OToDLuS+b3orc40Sg1U2p8P1DWL5ANxBr6Vd0AOZ+4G/HqRCq0dcRHcsN3pUFIIg Q/Qf2PSxwnxYakPG5B84VMwox6QUAS2/Plp2DfR3sZJDVhd3KHHkiRdhIgF+54Le SobB7lrIHhPiQX5rSgWSEippydzWk7s7uHw79ciinjPNDiaKpOjmmUSpUKZwePDO a5sNR9bi/yZBs7uAe2rFxanvmWA2hD3RmVg4I7fecRgwKyvRNVnzzbyo4PFvBFi8 4TXwDSNIkDEtRSnqnBPQfzBLM4vIz8qQolSlbu6Ziq4BQXHc7wQq7H011F8XhJCe yFNt3AtyooXYSS+C7+A1x9zAYpve6BYyxuD6OEvTlwoKpN91DW3pievyybcA66zF gVCKiMVr1OLk3RLJQbXugUpon/KS50yyT90W7Iy/mTSP2noeMHXoxf4fEFg4DPM5 svkCA15fa2EinlC8W5Jej6NHd7ONzU5KX8KKIYVpa6VFnPpcWihy2OFkqbuGBL+x wbBKlkQBKQVsfc1EdYJtGfD3jte7+6dUKt+gNzV3xuUY1CFCYB7nrsUlAwoLx0/K RilYXyUVfPmuRVUIPoKCg+DrlLUtwSiX45+Hs3wEF/ePgQ4v6s4= =fzke -----END PGP SIGNATURE----- Thu Aug 12 11:08:53 UTC 2021 I: Checking whether the package is not for us Thu Aug 12 11:08:53 UTC 2021 I: Starting 1st build on remote node codethink16-arm64.debian.net. Thu Aug 12 11:08:53 UTC 2021 I: Preparing to do remote build '1' on codethink16-arm64.debian.net. Thu Aug 12 11:15:13 UTC 2021 I: Deleting $TMPDIR on codethink16-arm64.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Wed Aug 11 23:08:55 -12 2021 I: pbuilder-time-stamp: 1628766535 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bullseye-reproducible-base.tgz] I: copying local configuration I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [iverilog_11.0-1.dsc] I: copying [./iverilog_11.0.orig.tar.gz] I: copying [./iverilog_11.0-1.debian.tar.xz] I: Extracting source gpgv: unknown type of key resource 'trustedkeys.kbx' gpgv: keyblock resource '/tmp/dpkg-verify-sig.FUnISkS0/trustedkeys.kbx': General error gpgv: Signature made Fri Oct 2 03:59:33 2020 -12 gpgv: using RSA key B70DFC6F134FECFC011E62AA83016014251D1DB0 gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./iverilog_11.0-1.dsc dpkg-source: info: extracting iverilog in iverilog-11.0 dpkg-source: info: unpacking iverilog_11.0.orig.tar.gz dpkg-source: info: unpacking iverilog_11.0-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying 0001-typo-fix-correct-misspelled-word-variable.patch dpkg-source: info: applying 0002-typo-fix-correct-misspelled-word-Parameter.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/3401/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='arm64' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all,-fixfilepath parallel=8' DISTRIBUTION='' HOME='/var/lib/jenkins' HOST_ARCH='arm64' IFS=' ' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='3401' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/pbuilderrc_lTpE --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bullseye-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/b1 --logfile b1/build.log iverilog_11.0-1.dsc' SUDO_GID='117' SUDO_UID='110' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' USERNAME='root' _='/usr/bin/systemd-run' http_proxy='http://192.168.101.16:3128' I: uname -a Linux codethink16-arm64 4.15.0-153-generic #160-Ubuntu SMP Thu Jul 29 07:06:07 UTC 2021 aarch64 GNU/Linux I: ls -l /bin total 5252 -rwxr-xr-x 1 root root 1282512 Aug 4 08:25 bash -rwxr-xr-x 3 root root 34808 Jul 20 2020 bunzip2 -rwxr-xr-x 3 root root 34808 Jul 20 2020 bzcat lrwxrwxrwx 1 root root 6 Jul 20 2020 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Jul 20 2020 bzdiff lrwxrwxrwx 1 root root 6 Jul 20 2020 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4877 Sep 4 2019 bzexe lrwxrwxrwx 1 root root 6 Jul 20 2020 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Jul 20 2020 bzgrep -rwxr-xr-x 3 root root 34808 Jul 20 2020 bzip2 -rwxr-xr-x 1 root root 14264 Jul 20 2020 bzip2recover lrwxrwxrwx 1 root root 6 Jul 20 2020 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Jul 20 2020 bzmore -rwxr-xr-x 1 root root 39832 Sep 22 2020 cat -rwxr-xr-x 1 root root 64512 Sep 22 2020 chgrp -rwxr-xr-x 1 root root 60368 Sep 22 2020 chmod -rwxr-xr-x 1 root root 64528 Sep 22 2020 chown -rwxr-xr-x 1 root root 138896 Sep 22 2020 cp -rwxr-xr-x 1 root root 129544 Dec 10 2020 dash -rwxr-xr-x 1 root root 101384 Sep 22 2020 date -rwxr-xr-x 1 root root 80984 Sep 22 2020 dd -rwxr-xr-x 1 root root 89824 Sep 22 2020 df -rwxr-xr-x 1 root root 143088 Sep 22 2020 dir -rwxr-xr-x 1 root root 76152 Jul 28 07:09 dmesg lrwxrwxrwx 1 root root 8 Nov 6 2019 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Nov 6 2019 domainname -> hostname -rwxr-xr-x 1 root root 35632 Sep 22 2020 echo -rwxr-xr-x 1 root root 28 Nov 9 2020 egrep -rwxr-xr-x 1 root root 31512 Sep 22 2020 false -rwxr-xr-x 1 root root 28 Nov 9 2020 fgrep -rwxr-xr-x 1 root root 64856 Jul 28 07:09 findmnt -rwsr-xr-x 1 root root 34824 Feb 26 04:12 fusermount -rwxr-xr-x 1 root root 178400 Nov 9 2020 grep -rwxr-xr-x 2 root root 2346 Mar 2 11:30 gunzip -rwxr-xr-x 1 root root 6376 Mar 2 11:30 gzexe -rwxr-xr-x 1 root root 93744 Mar 2 11:30 gzip -rwxr-xr-x 1 root root 18440 Nov 6 2019 hostname -rwxr-xr-x 1 root root 68720 Sep 22 2020 ln -rwxr-xr-x 1 root root 52720 Feb 7 2020 login -rwxr-xr-x 1 root root 143088 Sep 22 2020 ls -rwxr-xr-x 1 root root 161960 Jul 28 07:09 lsblk -rwxr-xr-x 1 root root 85200 Sep 22 2020 mkdir -rwxr-xr-x 1 root root 68744 Sep 22 2020 mknod -rwxr-xr-x 1 root root 43976 Sep 22 2020 mktemp -rwxr-xr-x 1 root root 51368 Jul 28 07:09 more -rwsr-xr-x 1 root root 51360 Jul 28 07:09 mount -rwxr-xr-x 1 root root 14496 Jul 28 07:09 mountpoint -rwxr-xr-x 1 root root 134808 Sep 22 2020 mv lrwxrwxrwx 1 root root 8 Nov 6 2019 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 18 03:38 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 35720 Sep 22 2020 pwd lrwxrwxrwx 1 root root 4 Aug 4 08:25 rbash -> bash -rwxr-xr-x 1 root root 43872 Sep 22 2020 readlink -rwxr-xr-x 1 root root 68592 Sep 22 2020 rm -rwxr-xr-x 1 root root 43880 Sep 22 2020 rmdir -rwxr-xr-x 1 root root 19208 Sep 27 2020 run-parts -rwxr-xr-x 1 root root 114016 Dec 22 2018 sed lrwxrwxrwx 1 root root 4 Aug 11 21:25 sh -> dash -rwxr-xr-x 1 root root 35656 Sep 22 2020 sleep -rwxr-xr-x 1 root root 72640 Sep 22 2020 stty -rwsr-xr-x 1 root root 67776 Jul 28 07:09 su -rwxr-xr-x 1 root root 35672 Sep 22 2020 sync -rwxr-xr-x 1 root root 535768 Feb 16 21:55 tar -rwxr-xr-x 1 root root 10568 Sep 27 2020 tempfile -rwxr-xr-x 1 root root 89120 Sep 22 2020 touch -rwxr-xr-x 1 root root 31512 Sep 22 2020 true -rwxr-xr-x 1 root root 14264 Feb 26 04:12 ulockmgr_server -rwsr-xr-x 1 root root 30880 Jul 28 07:09 umount -rwxr-xr-x 1 root root 35640 Sep 22 2020 uname -rwxr-xr-x 2 root root 2346 Mar 2 11:30 uncompress -rwxr-xr-x 1 root root 143088 Sep 22 2020 vdir -rwxr-xr-x 1 root root 59584 Jul 28 07:09 wdctl lrwxrwxrwx 1 root root 8 Nov 6 2019 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Mar 2 11:30 zcat -rwxr-xr-x 1 root root 1678 Mar 2 11:30 zcmp -rwxr-xr-x 1 root root 5880 Mar 2 11:30 zdiff -rwxr-xr-x 1 root root 29 Mar 2 11:30 zegrep -rwxr-xr-x 1 root root 29 Mar 2 11:30 zfgrep -rwxr-xr-x 1 root root 2081 Mar 2 11:30 zforce -rwxr-xr-x 1 root root 7585 Mar 2 11:30 zgrep -rwxr-xr-x 1 root root 2206 Mar 2 11:30 zless -rwxr-xr-x 1 root root 1842 Mar 2 11:30 zmore -rwxr-xr-x 1 root root 4553 Mar 2 11:30 znew I: user script /srv/workspace/pbuilder/3401/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: arm64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), gperf, bison, flex, zlib1g-dev, libbz2-dev, libreadline-dev dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19646 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on gperf; however: Package gperf is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on zlib1g-dev; however: Package zlib1g-dev is not installed. pbuilder-satisfydepends-dummy depends on libbz2-dev; however: Package libbz2-dev is not installed. pbuilder-satisfydepends-dummy depends on libreadline-dev; however: Package libreadline-dev is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} flex{a} gettext{a} gettext-base{a} gperf{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libbz2-dev{a} libdebhelper-perl{a} libelf1{a} libfile-stripnondeterminism-perl{a} libicu67{a} libmagic-mgc{a} libmagic1{a} libncurses-dev{a} libncurses6{a} libpipeline1{a} libreadline-dev{a} libreadline8{a} libsigsegv2{a} libsub-override-perl{a} libtool{a} libuchardet0{a} libxml2{a} m4{a} man-db{a} po-debconf{a} readline-common{a} sensible-utils{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: bzip2-doc curl libarchive-cpio-perl libfl-dev libgpm2 libltdl-dev libmail-sendmail-perl lynx wget 0 packages upgraded, 41 newly installed, 0 to remove and 0 not upgraded. Need to get 20.6 MB of archives. After unpacking 76.8 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bullseye/main arm64 bsdextrautils arm64 2.36.1-8 [142 kB] Get: 2 http://deb.debian.org/debian bullseye/main arm64 libuchardet0 arm64 0.0.7-1 [67.9 kB] Get: 3 http://deb.debian.org/debian bullseye/main arm64 groff-base arm64 1.22.4-6 [883 kB] Get: 4 http://deb.debian.org/debian bullseye/main arm64 libpipeline1 arm64 1.5.3-1 [33.0 kB] Get: 5 http://deb.debian.org/debian bullseye/main arm64 man-db arm64 2.9.4-2 [1336 kB] Get: 6 http://deb.debian.org/debian bullseye/main arm64 libsigsegv2 arm64 2.13-1 [34.7 kB] Get: 7 http://deb.debian.org/debian bullseye/main arm64 m4 arm64 1.4.18-5 [199 kB] Get: 8 http://deb.debian.org/debian bullseye/main arm64 flex arm64 2.6.4-8 [431 kB] Get: 9 http://deb.debian.org/debian bullseye/main arm64 readline-common all 8.1-1 [73.7 kB] Get: 10 http://deb.debian.org/debian bullseye/main arm64 libreadline8 arm64 8.1-1 [160 kB] Get: 11 http://deb.debian.org/debian bullseye/main arm64 sensible-utils all 0.0.14 [14.8 kB] Get: 12 http://deb.debian.org/debian bullseye/main arm64 libmagic-mgc arm64 1:5.39-3 [273 kB] Get: 13 http://deb.debian.org/debian bullseye/main arm64 libmagic1 arm64 1:5.39-3 [121 kB] Get: 14 http://deb.debian.org/debian bullseye/main arm64 file arm64 1:5.39-3 [69.1 kB] Get: 15 http://deb.debian.org/debian bullseye/main arm64 gettext-base arm64 0.21-4 [173 kB] Get: 16 http://deb.debian.org/debian bullseye/main arm64 autoconf all 2.69-14 [313 kB] Get: 17 http://deb.debian.org/debian bullseye/main arm64 autotools-dev all 20180224.1+nmu1 [77.1 kB] Get: 18 http://deb.debian.org/debian bullseye/main arm64 automake all 1:1.16.3-2 [814 kB] Get: 19 http://deb.debian.org/debian bullseye/main arm64 autopoint all 0.21-4 [510 kB] Get: 20 http://deb.debian.org/debian bullseye/main arm64 bison arm64 2:3.7.5+dfsg-1 [1084 kB] Get: 21 http://deb.debian.org/debian bullseye/main arm64 libdebhelper-perl all 13.3.4 [189 kB] Get: 22 http://deb.debian.org/debian bullseye/main arm64 libtool all 2.4.6-15 [513 kB] Get: 23 http://deb.debian.org/debian bullseye/main arm64 dh-autoreconf all 20 [17.1 kB] Get: 24 http://deb.debian.org/debian bullseye/main arm64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 25 http://deb.debian.org/debian bullseye/main arm64 libsub-override-perl all 0.09-2 [10.2 kB] Get: 26 http://deb.debian.org/debian bullseye/main arm64 libfile-stripnondeterminism-perl all 1.12.0-1 [26.3 kB] Get: 27 http://deb.debian.org/debian bullseye/main arm64 dh-strip-nondeterminism all 1.12.0-1 [15.4 kB] Get: 28 http://deb.debian.org/debian bullseye/main arm64 libelf1 arm64 0.183-1 [164 kB] Get: 29 http://deb.debian.org/debian bullseye/main arm64 dwz arm64 0.13+20210201-1 [155 kB] Get: 30 http://deb.debian.org/debian bullseye/main arm64 libicu67 arm64 67.1-7 [8467 kB] Get: 31 http://deb.debian.org/debian bullseye/main arm64 libxml2 arm64 2.9.10+dfsg-6.7 [629 kB] Get: 32 http://deb.debian.org/debian bullseye/main arm64 gettext arm64 0.21-4 [1261 kB] Get: 33 http://deb.debian.org/debian bullseye/main arm64 intltool-debian all 0.35.0+20060710.5 [26.8 kB] Get: 34 http://deb.debian.org/debian bullseye/main arm64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 35 http://deb.debian.org/debian bullseye/main arm64 debhelper all 13.3.4 [1049 kB] Get: 36 http://deb.debian.org/debian bullseye/main arm64 gperf arm64 3.1-1 [145 kB] Get: 37 http://deb.debian.org/debian bullseye/main arm64 libbz2-dev arm64 1.0.8-4 [31.5 kB] Get: 38 http://deb.debian.org/debian bullseye/main arm64 libncurses6 arm64 6.2+20201114-2 [93.2 kB] Get: 39 http://deb.debian.org/debian bullseye/main arm64 libncurses-dev arm64 6.2+20201114-2 [335 kB] Get: 40 http://deb.debian.org/debian bullseye/main arm64 libreadline-dev arm64 8.1-1 [153 kB] Get: 41 http://deb.debian.org/debian bullseye/main arm64 zlib1g-dev arm64 1:1.2.11.dfsg-2 [189 kB] Fetched 20.6 MB in 0s (55.1 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package bsdextrautils. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19646 files and directories currently installed.) Preparing to unpack .../00-bsdextrautils_2.36.1-8_arm64.deb ... Unpacking bsdextrautils (2.36.1-8) ... Selecting previously unselected package libuchardet0:arm64. Preparing to unpack .../01-libuchardet0_0.0.7-1_arm64.deb ... Unpacking libuchardet0:arm64 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../02-groff-base_1.22.4-6_arm64.deb ... Unpacking groff-base (1.22.4-6) ... Selecting previously unselected package libpipeline1:arm64. Preparing to unpack .../03-libpipeline1_1.5.3-1_arm64.deb ... Unpacking libpipeline1:arm64 (1.5.3-1) ... Selecting previously unselected package man-db. Preparing to unpack .../04-man-db_2.9.4-2_arm64.deb ... Unpacking man-db (2.9.4-2) ... Selecting previously unselected package libsigsegv2:arm64. Preparing to unpack .../05-libsigsegv2_2.13-1_arm64.deb ... Unpacking libsigsegv2:arm64 (2.13-1) ... Selecting previously unselected package m4. Preparing to unpack .../06-m4_1.4.18-5_arm64.deb ... Unpacking m4 (1.4.18-5) ... Selecting previously unselected package flex. Preparing to unpack .../07-flex_2.6.4-8_arm64.deb ... Unpacking flex (2.6.4-8) ... Selecting previously unselected package readline-common. Preparing to unpack .../08-readline-common_8.1-1_all.deb ... Unpacking readline-common (8.1-1) ... Selecting previously unselected package libreadline8:arm64. Preparing to unpack .../09-libreadline8_8.1-1_arm64.deb ... Unpacking libreadline8:arm64 (8.1-1) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../10-sensible-utils_0.0.14_all.deb ... Unpacking sensible-utils (0.0.14) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../11-libmagic-mgc_1%3a5.39-3_arm64.deb ... Unpacking libmagic-mgc (1:5.39-3) ... Selecting previously unselected package libmagic1:arm64. Preparing to unpack .../12-libmagic1_1%3a5.39-3_arm64.deb ... Unpacking libmagic1:arm64 (1:5.39-3) ... Selecting previously unselected package file. Preparing to unpack .../13-file_1%3a5.39-3_arm64.deb ... Unpacking file (1:5.39-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../14-gettext-base_0.21-4_arm64.deb ... Unpacking gettext-base (0.21-4) ... Selecting previously unselected package autoconf. Preparing to unpack .../15-autoconf_2.69-14_all.deb ... Unpacking autoconf (2.69-14) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../16-autotools-dev_20180224.1+nmu1_all.deb ... Unpacking autotools-dev (20180224.1+nmu1) ... Selecting previously unselected package automake. Preparing to unpack .../17-automake_1%3a1.16.3-2_all.deb ... Unpacking automake (1:1.16.3-2) ... Selecting previously unselected package autopoint. Preparing to unpack .../18-autopoint_0.21-4_all.deb ... Unpacking autopoint (0.21-4) ... Selecting previously unselected package bison. Preparing to unpack .../19-bison_2%3a3.7.5+dfsg-1_arm64.deb ... Unpacking bison (2:3.7.5+dfsg-1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../20-libdebhelper-perl_13.3.4_all.deb ... Unpacking libdebhelper-perl (13.3.4) ... Selecting previously unselected package libtool. Preparing to unpack .../21-libtool_2.4.6-15_all.deb ... Unpacking libtool (2.4.6-15) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../22-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../23-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../24-libsub-override-perl_0.09-2_all.deb ... Unpacking libsub-override-perl (0.09-2) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../25-libfile-stripnondeterminism-perl_1.12.0-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.12.0-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../26-dh-strip-nondeterminism_1.12.0-1_all.deb ... Unpacking dh-strip-nondeterminism (1.12.0-1) ... Selecting previously unselected package libelf1:arm64. Preparing to unpack .../27-libelf1_0.183-1_arm64.deb ... Unpacking libelf1:arm64 (0.183-1) ... Selecting previously unselected package dwz. Preparing to unpack .../28-dwz_0.13+20210201-1_arm64.deb ... Unpacking dwz (0.13+20210201-1) ... Selecting previously unselected package libicu67:arm64. Preparing to unpack .../29-libicu67_67.1-7_arm64.deb ... Unpacking libicu67:arm64 (67.1-7) ... Selecting previously unselected package libxml2:arm64. Preparing to unpack .../30-libxml2_2.9.10+dfsg-6.7_arm64.deb ... Unpacking libxml2:arm64 (2.9.10+dfsg-6.7) ... Selecting previously unselected package gettext. Preparing to unpack .../31-gettext_0.21-4_arm64.deb ... Unpacking gettext (0.21-4) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../32-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../33-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../34-debhelper_13.3.4_all.deb ... Unpacking debhelper (13.3.4) ... Selecting previously unselected package gperf. Preparing to unpack .../35-gperf_3.1-1_arm64.deb ... Unpacking gperf (3.1-1) ... Selecting previously unselected package libbz2-dev:arm64. Preparing to unpack .../36-libbz2-dev_1.0.8-4_arm64.deb ... Unpacking libbz2-dev:arm64 (1.0.8-4) ... Selecting previously unselected package libncurses6:arm64. Preparing to unpack .../37-libncurses6_6.2+20201114-2_arm64.deb ... Unpacking libncurses6:arm64 (6.2+20201114-2) ... Selecting previously unselected package libncurses-dev:arm64. Preparing to unpack .../38-libncurses-dev_6.2+20201114-2_arm64.deb ... Unpacking libncurses-dev:arm64 (6.2+20201114-2) ... Selecting previously unselected package libreadline-dev:arm64. Preparing to unpack .../39-libreadline-dev_8.1-1_arm64.deb ... Unpacking libreadline-dev:arm64 (8.1-1) ... Selecting previously unselected package zlib1g-dev:arm64. Preparing to unpack .../40-zlib1g-dev_1%3a1.2.11.dfsg-2_arm64.deb ... Unpacking zlib1g-dev:arm64 (1:1.2.11.dfsg-2) ... Setting up libpipeline1:arm64 (1.5.3-1) ... Setting up bsdextrautils (2.36.1-8) ... update-alternatives: using /usr/bin/write.ul to provide /usr/bin/write (write) in auto mode Setting up libicu67:arm64 (67.1-7) ... Setting up libmagic-mgc (1:5.39-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.3.4) ... Setting up libmagic1:arm64 (1:5.39-3) ... Setting up gettext-base (0.21-4) ... Setting up file (1:5.39-3) ... Setting up gperf (3.1-1) ... Setting up autotools-dev (20180224.1+nmu1) ... Setting up libncurses6:arm64 (6.2+20201114-2) ... Setting up libsigsegv2:arm64 (2.13-1) ... Setting up autopoint (0.21-4) ... Setting up zlib1g-dev:arm64 (1:1.2.11.dfsg-2) ... Setting up sensible-utils (0.0.14) ... Setting up libuchardet0:arm64 (0.0.7-1) ... Setting up libsub-override-perl (0.09-2) ... Setting up libelf1:arm64 (0.183-1) ... Setting up readline-common (8.1-1) ... Setting up libxml2:arm64 (2.9.10+dfsg-6.7) ... Setting up libbz2-dev:arm64 (1.0.8-4) ... Setting up libfile-stripnondeterminism-perl (1.12.0-1) ... Setting up libncurses-dev:arm64 (6.2+20201114-2) ... Setting up gettext (0.21-4) ... Setting up libtool (2.4.6-15) ... Setting up libreadline8:arm64 (8.1-1) ... Setting up m4 (1.4.18-5) ... Setting up libreadline-dev:arm64 (8.1-1) ... Setting up intltool-debian (0.35.0+20060710.5) ... Setting up autoconf (2.69-14) ... Setting up dh-strip-nondeterminism (1.12.0-1) ... Setting up dwz (0.13+20210201-1) ... Setting up groff-base (1.22.4-6) ... Setting up bison (2:3.7.5+dfsg-1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up automake (1:1.16.3-2) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up flex (2.6.4-8) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.9.4-2) ... Not building database; man-db/auto-update is not 'true'. Setting up dh-autoreconf (20) ... Setting up debhelper (13.3.4) ... Processing triggers for libc-bin (2.31-13) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/iverilog-11.0/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../iverilog_11.0-1_source.changes dpkg-buildpackage: info: source package iverilog dpkg-buildpackage: info: source version 11.0-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Carsten Schoenert dpkg-source --before-build . dpkg-buildpackage: info: host architecture arm64 debian/rules clean dh clean dh_clean debian/rules binary dh binary dh_update_autotools_config debian/rules override_dh_autoreconf make[1]: Entering directory '/build/iverilog-11.0' ln -sf /usr/share/misc/config.guess ln -sf /usr/share/misc/config.sub autoconf make[1]: Leaving directory '/build/iverilog-11.0' dh_auto_configure ./configure --build=aarch64-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/aarch64-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking checking build system type... aarch64-unknown-linux-gnu checking host system type... aarch64-unknown-linux-gnu checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... none needed checking for gcc option to accept ISO C99... none needed checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking for ranlib... ranlib checking for ld... ld checking for ar... ar checking for dlltool... no checking for strip... strip checking for windres... no checking for gperf... gperf checking for man... man checking for ps2pdf... no checking for git... no checking for flex... flex checking for bison... bison checking for Microsoft Windows... no checking how to run the C preprocessor... gcc -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking whether __SUNPRO_C is declared... no checking if gcc supports -Wextra... yes checking whether __SUNPRO_CC is declared... no checking how to run the C++ preprocessor... g++ -E checking getopt.h usability... yes checking getopt.h presence... yes checking for getopt.h... yes checking for inttypes.h... (cached) yes checking libiberty.h usability... no checking libiberty.h presence... no checking for libiberty.h... no checking iosfwd usability... yes checking iosfwd presence... yes checking for iosfwd... yes checking sys/wait.h usability... yes checking sys/wait.h presence... yes checking for sys/wait.h... yes checking size of unsigned long long... 8 checking size of unsigned long... 8 checking size of unsigned... 4 checking for tputs in -ltermcap... yes checking for readline in -lreadline... yes checking for add_history in -lreadline... yes checking readline/readline.h usability... yes checking readline/readline.h presence... yes checking for readline/readline.h... yes checking readline/history.h usability... yes checking readline/history.h presence... yes checking for readline/history.h... yes checking sys/resource.h usability... yes checking sys/resource.h presence... yes checking for sys/resource.h... yes checking for pthread_create in -lpthread... yes checking for gzwrite in -lz... yes checking for gzwrite in -lz... (cached) yes checking for BZ2_bzdopen in -lbz2... yes checking for BZ2_bzdopen in -lbz2... (cached) yes checking for size_t... yes checking for working alloca.h... yes checking for alloca... yes checking for _LARGEFILE_SOURCE value needed for large files... no configure: Not using valgrind hooks checking for sys/times... yes checking dlfcn.h usability... yes checking dlfcn.h presence... yes checking for dlfcn.h... yes checking for dlopen in -ldl... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether byte ordering is bigendian... no checking for extra libs needed... checking for flag to make position independent code... -fPIC checking for realpath... yes checking for fopen64... yes checking for library containing lround... -lm checking for library containing llround... none required checking for library containing nan... none required checking for library containing fmin... none required checking for library containing fmax... none required checking if uint64_t and unsigned long are identical... yes checking for -rdynamic compiler flag... -rdynamic checking for shared library link flag... -shared checking for leading underscores... no checking for trailing underscores... no checking for sanity of prefix... ok checking for sanity of exec_prefix... ok checking for sanity of libdir... ok checking for gcc... gcc checking whether we are using the GNU C compiler... (cached) yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... (cached) none needed checking how to run the C preprocessor... gcc -E configure: creating ./config.status config.status: creating Makefile config.status: creating ivlpp/Makefile config.status: creating vhdlpp/Makefile config.status: creating vvp/Makefile config.status: creating vpi/Makefile config.status: creating driver/Makefile config.status: creating driver-vpi/Makefile config.status: creating cadpli/Makefile config.status: creating libveriuser/Makefile config.status: creating tgt-null/Makefile config.status: creating tgt-stub/Makefile config.status: creating tgt-vvp/Makefile config.status: creating tgt-vhdl/Makefile config.status: creating tgt-fpga/Makefile config.status: creating tgt-verilog/Makefile config.status: creating tgt-pal/Makefile config.status: creating tgt-vlog95/Makefile config.status: creating tgt-pcb/Makefile config.status: creating tgt-blif/Makefile config.status: creating tgt-sizer/Makefile config.status: creating config.h config.status: creating _pli_types.h config.status: creating vhdlpp/vhdlpp_config.h config.status: creating vvp/config.h config.status: creating vpi/vpi_config.h config.status: creating libveriuser/config.h config.status: creating tgt-vvp/vvp_config.h config.status: creating tgt-vhdl/vhdl_config.h config.status: creating tgt-pcb/pcb_config.h dh_auto_build make -j8 make[1]: Entering directory '/build/iverilog-11.0' mkdir dep g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c async.cc -o async.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c design_dump.cc -o design_dump.o Using empty VERSION_TAG g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c discipline.cc -o discipline.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c dup_expr.cc -o dup_expr.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elaborate.cc -o elaborate.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_expr.cc -o elab_expr.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elaborate_analog.cc -o elaborate_analog.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_lval.cc -o elab_lval.o mv discipline.d dep/discipline.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_net.cc -o elab_net.o mv async.d dep/async.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_scope.cc -o elab_scope.o mv elaborate_analog.d dep/elaborate_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_sig.cc -o elab_sig.o mv dup_expr.d dep/dup_expr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_sig_analog.cc -o elab_sig_analog.o mv elab_net.d dep/elab_net.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_type.cc -o elab_type.o mv elab_sig_analog.d dep/elab_sig_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c emit.cc -o emit.o mv design_dump.d dep/design_dump.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval.cc -o eval.o mv elab_lval.d dep/elab_lval.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_attrib.cc -o eval_attrib.o mv emit.d dep/emit.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_tree.cc -o eval_tree.o mv elab_type.d dep/elab_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expr_synth.cc -o expr_synth.o mv elab_scope.d dep/elab_scope.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c functor.cc -o functor.o mv eval.d dep/eval.d flex -s -t lexor.lex > lexor.cc bison --verbose -t -p VL --defines=parse.h -o parse.cc parse.y mv eval_attrib.d dep/eval_attrib.d gperf -o -i 7 -C -k 1-4,6,9,$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || (rm -f lexor_keyword.cc ; false) g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c link_const.cc -o link_const.o mv elab_sig.d dep/elab_sig.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c load_module.cc -o load_module.o mv functor.d dep/functor.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netlist.cc -o netlist.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netmisc.cc -o netmisc.o mv link_const.d dep/link_const.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nettypes.cc -o nettypes.o mv load_module.d dep/load_module.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_analog.cc -o net_analog.o mv nettypes.d dep/nettypes.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_assign.cc -o net_assign.o mv expr_synth.d dep/expr_synth.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_design.cc -o net_design.o mv net_analog.d dep/net_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netclass.cc -o netclass.o mv net_assign.d dep/net_assign.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netdarray.cc -o netdarray.o mv eval_tree.d dep/eval_tree.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netenum.cc -o netenum.o mv netdarray.d dep/netdarray.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netparray.cc -o netparray.o mv netmisc.d dep/netmisc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netqueue.cc -o netqueue.o mv netclass.d dep/netclass.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netscalar.cc -o netscalar.o mv netparray.d dep/netparray.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netstruct.cc -o netstruct.o mv netqueue.d dep/netqueue.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netvector.cc -o netvector.o mv netscalar.d dep/netscalar.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_event.cc -o net_event.o mv netvector.d dep/netvector.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_expr.cc -o net_expr.o mv netenum.d dep/netenum.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_func.cc -o net_func.o mv net_design.d dep/net_design.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_func_eval.cc -o net_func_eval.o mv netstruct.d dep/netstruct.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_link.cc -o net_link.o mv netlist.d dep/netlist.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_modulo.cc -o net_modulo.o mv net_func.d dep/net_func.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_nex_input.cc -o net_nex_input.o mv net_event.d dep/net_event.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_nex_output.cc -o net_nex_output.o mv net_expr.d dep/net_expr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_proc.cc -o net_proc.o mv elab_expr.d dep/elab_expr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_scope.cc -o net_scope.o mv net_modulo.d dep/net_modulo.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_tran.cc -o net_tran.o mv net_link.d dep/net_link.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_udp.cc -o net_udp.o mv net_nex_input.d dep/net_nex_input.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pad_to_width.cc -o pad_to_width.o mv net_nex_output.d dep/net_nex_output.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse.cc -o parse.o mv net_tran.d dep/net_tran.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse_misc.cc -o parse_misc.o mv net_proc.d dep/net_proc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform.cc -o pform.o mv net_func_eval.d dep/net_func_eval.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_analog.cc -o pform_analog.o mv net_udp.d dep/net_udp.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_disciplines.cc -o pform_disciplines.o parse.cc:8577:18: warning: missing initializer for member 'vlltype::text' [-Wmissing-field-initializers] 8577 | = { 1, 1, 1, 1 } | ^ mv pad_to_width.d dep/pad_to_width.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_dump.cc -o pform_dump.o mv parse_misc.d dep/parse_misc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_package.cc -o pform_package.o mv elaborate.d dep/elaborate.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_pclass.cc -o pform_pclass.o mv pform_analog.d dep/pform_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_class_type.cc -o pform_class_type.o mv pform_package.d dep/pform_package.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_string_type.cc -o pform_string_type.o mv net_scope.d dep/net_scope.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_struct_type.cc -o pform_struct_type.o mv pform_disciplines.d dep/pform_disciplines.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_types.cc -o pform_types.o mv pform_class_type.d dep/pform_class_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c symbol_search.cc -o symbol_search.o mv pform_pclass.d dep/pform_pclass.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sync.cc -o sync.o mv pform_types.d dep/pform_types.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_funcs.cc -o sys_funcs.o mv pform_string_type.d dep/pform_string_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c verinum.cc -o verinum.o mv pform_struct_type.d dep/pform_struct_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c verireal.cc -o verireal.o mv sync.d dep/sync.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_modules.cc -o vpi_modules.o mv symbol_search.d dep/symbol_search.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c target.cc -o target.o mv sys_funcs.d dep/sys_funcs.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c Attrib.cc -o Attrib.o mv vpi_modules.d dep/vpi_modules.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c HName.cc -o HName.o mv Attrib.d dep/Attrib.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c Module.cc -o Module.o mv verireal.d dep/verireal.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PClass.cc -o PClass.o mv HName.d dep/HName.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PDelays.cc -o PDelays.o mv pform_dump.d dep/pform_dump.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PEvent.cc -o PEvent.o mv target.d dep/target.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PExpr.cc -o PExpr.o mv verinum.d dep/verinum.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PFunction.cc -o PFunction.o mv PEvent.d dep/PEvent.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PGate.cc -o PGate.o mv PClass.d dep/PClass.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PGenerate.cc -o PGenerate.o mv Module.d dep/Module.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PModport.cc -o PModport.o mv PDelays.d dep/PDelays.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PNamedItem.cc -o PNamedItem.o mv PNamedItem.d dep/PNamedItem.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PPackage.cc -o PPackage.o mv PFunction.d dep/PFunction.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PScope.cc -o PScope.o mv PGate.d dep/PGate.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PSpec.cc -o PSpec.o mv PModport.d dep/PModport.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PTask.cc -o PTask.o mv PSpec.d dep/PSpec.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PUdp.cc -o PUdp.o mv PPackage.d dep/PPackage.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PWire.cc -o PWire.o mv PExpr.d dep/PExpr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c Statement.cc -o Statement.o mv pform.d dep/pform.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c AStatement.cc -o AStatement.o mv PUdp.d dep/PUdp.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ./libmisc/LineInfo.cc -o LineInfo.o mv PGenerate.d dep/PGenerate.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ./libmisc/StringHeap.cc -o StringHeap.o mv PTask.d dep/PTask.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cprop.cc -o cprop.o mv PScope.d dep/PScope.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c exposenodes.cc -o exposenodes.o mv LineInfo.d dep/LineInfo.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nodangle.cc -o nodangle.o mv StringHeap.d dep/StringHeap.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c synth.cc -o synth.o mv PWire.d dep/PWire.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c synth2.cc -o synth2.o mv exposenodes.d dep/exposenodes.d bison --verbose -t -p syn_ -o syn-rules.cc syn-rules.y mv AStatement.d dep/AStatement.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll.cc -o t-dll.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-api.cc -o t-dll-api.o mv synth.d dep/synth.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-expr.cc -o t-dll-expr.o mv cprop.d dep/cprop.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-proc.cc -o t-dll-proc.o mv nodangle.d dep/nodangle.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-analog.cc -o t-dll-analog.o mv Statement.d dep/Statement.d gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -o version.exe -I. -I. ./version.c sed -e 's;@SHARED@;-shared;' -e 's;@PIC@;-fPIC;' \ -e 's;@SUFFIX@;;' \ -e 's;@IVCC@;cc;' \ -e 's;@IVCXX@;g++;' \ -e 's;@IVCFLAGS@;-Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security;' \ -e 's;@IVCXXFLAGS@;-Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security;' \ -e 's;@IVCTARGETFLAGS@;;' \ -e 's;@INCLUDEDIR@;/usr/include/iverilog;' \ -e 's;@LIBDIR@;/usr/lib/aarch64-linux-gnu;' iverilog-vpi.sh > iverilog-vpi mv t-dll-expr.d dep/t-dll-expr.d chmod +x iverilog-vpi g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c main.cc -o main.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor.cc -o lexor.o mv t-dll-analog.d dep/t-dll-analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor_keyword.cc -o lexor_keyword.o mv t-dll-proc.d dep/t-dll-proc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c syn-rules.cc -o syn-rules.o mv t-dll-api.d dep/t-dll-api.d ./version.exe `head -1 ./iverilog-vpi.man.in`'\n' > iverilog-vpi.man tail -n +2 ./iverilog-vpi.man.in >> iverilog-vpi.man mv lexor_keyword.d dep/lexor_keyword.d mv t-dll.d dep/t-dll.d mv syn-rules.d dep/syn-rules.d mv parse.d dep/parse.d mv lexor.d dep/lexor.d mv synth2.d dep/synth2.d mv main.d dep/main.d g++ -rdynamic -Wl,-z,relro -Wl,-z,now -o ivl main.o async.o design_dump.o discipline.o dup_expr.o elaborate.o elab_expr.o elaborate_analog.o elab_lval.o elab_net.o elab_scope.o elab_sig.o elab_sig_analog.o elab_type.o emit.o eval.o eval_attrib.o eval_tree.o expr_synth.o functor.o lexor.o lexor_keyword.o link_const.o load_module.o netlist.o netmisc.o nettypes.o net_analog.o net_assign.o net_design.o netclass.o netdarray.o netenum.o netparray.o netqueue.o netscalar.o netstruct.o netvector.o net_event.o net_expr.o net_func.o net_func_eval.o net_link.o net_modulo.o net_nex_input.o net_nex_output.o net_proc.o net_scope.o net_tran.o net_udp.o pad_to_width.o parse.o parse_misc.o pform.o pform_analog.o pform_disciplines.o pform_dump.o pform_package.o pform_pclass.o pform_class_type.o pform_string_type.o pform_struct_type.o pform_types.o symbol_search.o sync.o sys_funcs.o verinum.o verireal.o vpi_modules.o target.o Attrib.o HName.o Module.o PClass.o PDelays.o PEvent.o PExpr.o PFunction.o PGate.o PGenerate.o PModport.o PNamedItem.o PPackage.o PScope.o PSpec.o PTask.o PUdp.o PWire.o Statement.o AStatement.o LineInfo.o StringHeap.o cprop.o exposenodes.o nodangle.o synth.o synth2.o syn-rules.o t-dll.o t-dll-api.o t-dll-expr.o t-dll-proc.o t-dll-analog.o -ldl make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[2]: Entering directory '/build/iverilog-11.0/ivlpp' gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -c -o main.o main.c flex -t lexor.lex > lexor.c gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -c -o lexor.o lexor.c gcc -Wl,-z,relro -Wl,-z,now main.o lexor.o -o ivlpp make[2]: Leaving directory '/build/iverilog-11.0/ivlpp' make[2]: Entering directory '/build/iverilog-11.0/vhdlpp' mkdir dep g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c main.cc -o main.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec.cc -o architec.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c compiler.cc -o compiler.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity.cc -o entity.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c std_funcs.cc -o std_funcs.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c std_types.cc -o std_types.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression.cc -o expression.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c package.cc -o package.o mv compiler.d dep/compiler.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.cc -o scope.o mv main.d dep/main.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential.cc -o sequential.o mv package.d dep/package.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c subprogram.cc -o subprogram.o mv entity.d dep/entity.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vsignal.cc -o vsignal.o mv architec.d dep/architec.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype.cc -o vtype.o mv std_types.d dep/std_types.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_match.cc -o vtype_match.o mv sequential.d dep/sequential.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec_elaborate.cc -o architec_elaborate.o mv vtype_match.d dep/vtype_match.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity_elaborate.cc -o entity_elaborate.o mv std_funcs.d dep/std_funcs.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_elaborate.cc -o expression_elaborate.o mv vsignal.d dep/vsignal.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_evaluate.cc -o expression_evaluate.o mv expression.d dep/expression.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential_elaborate.cc -o sequential_elaborate.o mv vtype.d dep/vtype.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_elaborate.cc -o vtype_elaborate.o mv subprogram.d dep/subprogram.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity_stream.cc -o entity_stream.o expression_elaborate.cc: In member function 'int ExpAggregate::elaborate_expr_record_(Entity*, ScopeBase*, const VTypeRecord*)': expression_elaborate.cc:546:31: warning: implicitly-declared 'constexpr ExpAggregate::choice_element& ExpAggregate::choice_element::operator=(const ExpAggregate::choice_element&)' is deprecated [-Wdeprecated-copy] 546 | aggregate_[idx] = tmp; | ^~~ In file included from expression_elaborate.cc:24: expression.h:289:6: note: because 'ExpAggregate::choice_element' has user-provided 'ExpAggregate::choice_element::choice_element(const ExpAggregate::choice_element&)' 289 | choice_element(const choice_element&other) { | ^~~~~~~~~~~~~~ mv architec_elaborate.d dep/architec_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_stream.cc -o expression_stream.o mv expression_evaluate.d dep/expression_evaluate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_stream.cc -o vtype_stream.o mv entity_elaborate.d dep/entity_elaborate.d flex -s -olexor.cc ./lexor.lex bison --verbose -t --defines=parse.h -o parse.cc parse.y mv scope.d dep/scope.d gperf -o -i 7 --ignore-case -C -k 1-4,6,9,$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || (rm -f lexor_keyword.cc ; false) g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse_misc.cc -o parse_misc.o mv vtype_elaborate.d dep/vtype_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c library.cc -o library.o mv sequential_elaborate.d dep/sequential_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdlreal.cc -o vhdlreal.o mv entity_stream.d dep/entity_stream.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdlint.cc -o vhdlint.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec_emit.cc -o architec_emit.o mv vhdlreal.d dep/vhdlreal.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity_emit.cc -o entity_emit.o mv expression_stream.d dep/expression_stream.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_emit.cc -o expression_emit.o mv vhdlint.d dep/vhdlint.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c package_emit.cc -o package_emit.o mv vtype_stream.d dep/vtype_stream.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential_emit.cc -o sequential_emit.o mv expression_elaborate.d dep/expression_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c subprogram_emit.cc -o subprogram_emit.o mv parse_misc.d dep/parse_misc.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_emit.cc -o vtype_emit.o mv entity_emit.d dep/entity_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c debug.cc -o debug.o mv package_emit.d dep/package_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec_debug.cc -o architec_debug.o mv architec_emit.d dep/architec_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_debug.cc -o expression_debug.o mv vtype_emit.d dep/vtype_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential_debug.cc -o sequential_debug.o mv subprogram_emit.d dep/subprogram_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ../libmisc/StringHeap.cc -o StringHeap.o mv library.d dep/library.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ../libmisc/LineInfo.cc -o LineInfo.o mv StringHeap.d dep/StringHeap.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor.cc -o lexor.o mv LineInfo.d dep/LineInfo.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor_keyword.cc -o lexor_keyword.o mv expression_emit.d dep/expression_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse.cc -o parse.o mv sequential_emit.d dep/sequential_emit.d mv architec_debug.d dep/architec_debug.d mv expression_debug.d dep/expression_debug.d mv debug.d dep/debug.d mv sequential_debug.d dep/sequential_debug.d mv lexor_keyword.d dep/lexor_keyword.d mv lexor.d dep/lexor.d mv parse.d dep/parse.d g++ -o vhdlpp -Wl,-z,relro -Wl,-z,now main.o architec.o compiler.o entity.o std_funcs.o std_types.o expression.o package.o scope.o sequential.o subprogram.o vsignal.o vtype.o vtype_match.o architec_elaborate.o entity_elaborate.o expression_elaborate.o expression_evaluate.o sequential_elaborate.o vtype_elaborate.o entity_stream.o expression_stream.o vtype_stream.o lexor.o lexor_keyword.o parse.o parse_misc.o library.o vhdlreal.o vhdlint.o architec_emit.o entity_emit.o expression_emit.o package_emit.o sequential_emit.o subprogram_emit.o vtype_emit.o debug.o architec_debug.o expression_debug.o sequential_debug.o StringHeap.o LineInfo.o -lm -lbz2 -lz -lpthread -lreadline -ltermcap make[2]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[2]: Entering directory '/build/iverilog-11.0/vvp' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c main.cc -o main.o bison --verbose -t --defines=parse.h -o parse.cc parse.y g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse_misc.cc -o parse_misc.o flex -s -olexor.cc ./lexor.lex g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c arith.cc -o arith.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c array_common.cc -o array_common.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c array.cc -o array.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c bufif.cc -o bufif.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c compile.cc -o compile.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c concat.cc -o concat.o mv bufif.d dep/bufif.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c dff.cc -o dff.o mv array_common.d dep/array_common.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c class_type.cc -o class_type.o mv parse_misc.d dep/parse_misc.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c enum_type.cc -o enum_type.o array.cc: In function 'void compile_array_alias(char*, char*, char*)': array.cc:1536:30: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1536 | obj->first_addr = mem->first_addr; | ^~~~~~~~~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1537:30: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1537 | obj->last_addr = mem->last_addr; | ^~~~~~~~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1540:23: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1540 | obj->msb = mem->msb; | ^~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1541:23: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1541 | obj->lsb = mem->lsb; | ^~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ mv main.d dep/main.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c extend.cc -o extend.o mv concat.d dep/concat.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c file_line.cc -o file_line.o mv dff.d dep/dff.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c latch.cc -o latch.o mv enum_type.d dep/enum_type.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c npmos.cc -o npmos.o mv extend.d dep/extend.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c part.cc -o part.o mv compile.d dep/compile.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c permaheap.cc -o permaheap.o mv permaheap.d dep/permaheap.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c reduce.cc -o reduce.o mv arith.d dep/arith.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c resolv.cc -o resolv.o mv file_line.d dep/file_line.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sfunc.cc -o sfunc.o mv latch.d dep/latch.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stop.cc -o stop.o mv npmos.d dep/npmos.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c substitute.cc -o substitute.o mv class_type.d dep/class_type.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c symbols.cc -o symbols.o mv array.d dep/array.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ufunc.cc -o ufunc.o mv reduce.d dep/reduce.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c codes.cc -o codes.o mv sfunc.d dep/sfunc.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vthread.cc -o vthread.o mv part.d dep/part.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c schedule.cc -o schedule.o mv stop.d dep/stop.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c statistics.cc -o statistics.o mv statistics.d dep/statistics.d cc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -o draw_tt ./draw_tt.c mv codes.d dep/codes.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c udp.cc -o udp.o mv substitute.d dep/substitute.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_island.cc -o vvp_island.o mv symbols.d dep/symbols.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_net.cc -o vvp_net.o ./draw_tt > tables.cc rm draw_tt g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_net_sig.cc -o vvp_net_sig.o mv resolv.d dep/resolv.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_object.cc -o vvp_object.o mv ufunc.d dep/ufunc.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_cobject.cc -o vvp_cobject.o mv vvp_object.d dep/vvp_object.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_darray.cc -o vvp_darray.o mv vvp_cobject.d dep/vvp_cobject.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c event.cc -o event.o mv vvp_island.d dep/vvp_island.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic.cc -o logic.o mv udp.d dep/udp.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c delay.cc -o delay.o mv schedule.d dep/schedule.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c words.cc -o words.o mv vvp_net_sig.d dep/vvp_net_sig.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c island_tran.cc -o island_tran.o mv words.d dep/words.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_modules.cc -o vpi_modules.o mv event.d dep/event.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_bit.cc -o vpi_bit.o mv logic.d dep/logic.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_callback.cc -o vpi_callback.o mv delay.d dep/delay.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_cobject.cc -o vpi_cobject.o mv vvp_net.d dep/vvp_net.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_const.cc -o vpi_const.o mv vpi_modules.d dep/vpi_modules.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_darray.cc -o vpi_darray.o mv vpi_bit.d dep/vpi_bit.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_event.cc -o vpi_event.o mv island_tran.d dep/island_tran.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_iter.cc -o vpi_iter.o mv vpi_cobject.d dep/vpi_cobject.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_mcd.cc -o vpi_mcd.o mv vpi_callback.d dep/vpi_callback.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_priv.cc -o vpi_priv.o mv vpi_const.d dep/vpi_const.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_scope.cc -o vpi_scope.o mv vpi_iter.d dep/vpi_iter.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_real.cc -o vpi_real.o mv vpi_mcd.d dep/vpi_mcd.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_signal.cc -o vpi_signal.o mv vpi_darray.d dep/vpi_darray.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_string.cc -o vpi_string.o mv vpi_event.d dep/vpi_event.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_tasks.cc -o vpi_tasks.o vpi_signal.cc: In function '__vpiHandle* fill_in_net4(__vpiSignal*, __vpiScope*, const char*, int, int, bool, vvp_net_t*)': vpi_signal.cc:1270:35: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1270 | obj->msb = __vpiDecConst(msb); | ^ In file included from parse_misc.h:22, from compile.h:26, from vpi_signal.cc:25: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ vpi_signal.cc:1271:35: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1271 | obj->lsb = __vpiDecConst(lsb); | ^ In file included from parse_misc.h:22, from compile.h:26, from vpi_signal.cc:25: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ mv vpi_real.d dep/vpi_real.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_time.cc -o vpi_time.o mv vpi_priv.d dep/vpi_priv.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_vthr_vector.cc -o vpi_vthr_vector.o mv vpi_string.d dep/vpi_string.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_bin.cc -o vpip_bin.o mv vpi_time.d dep/vpi_time.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_hex.cc -o vpip_hex.o mv vpi_tasks.d dep/vpi_tasks.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_oct.cc -o vpip_oct.o mv vpip_bin.d dep/vpip_bin.d mv vpi_scope.d dep/vpi_scope.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_to_dec.cc -o vpip_to_dec.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_format.cc -o vpip_format.o mv vvp_darray.d dep/vvp_darray.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_vpi.cc -o vvp_vpi.o mv vpip_format.d dep/vpip_format.d ../version.exe `head -1 ./vvp.man.in`'\n' > vvp.man tail -n +2 ./vvp.man.in >> vvp.man g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse.cc -o parse.o mv vvp_vpi.d dep/vvp_vpi.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor.cc -o lexor.o mv vpi_vthr_vector.d dep/vpi_vthr_vector.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/aarch64-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c tables.cc -o tables.o mv vpi_signal.d dep/vpi_signal.d mv tables.d dep/tables.d mv vpip_hex.d dep/vpip_hex.d mv vpip_oct.d dep/vpip_oct.d mv vpip_to_dec.d dep/vpip_to_dec.d mv lexor.d dep/lexor.d mv parse.d dep/parse.d mv vthread.d dep/vthread.d g++ -rdynamic -Wl,-z,relro -Wl,-z,now -o vvp main.o parse.o parse_misc.o lexor.o arith.o array_common.o array.o bufif.o compile.o concat.o dff.o class_type.o enum_type.o extend.o file_line.o latch.o npmos.o part.o permaheap.o reduce.o resolv.o sfunc.o stop.o substitute.o symbols.o ufunc.o codes.o vthread.o schedule.o statistics.o tables.o udp.o vvp_island.o vvp_net.o vvp_net_sig.o vvp_object.o vvp_cobject.o vvp_darray.o event.o logic.o delay.o words.o island_tran.o vpi_modules.o vpi_bit.o vpi_callback.o vpi_cobject.o vpi_const.o vpi_darray.o vpi_event.o vpi_iter.o vpi_mcd.o vpi_priv.o vpi_scope.o vpi_real.o vpi_signal.o vpi_string.o vpi_tasks.o vpi_time.o vpi_vthr_vector.o vpip_bin.o vpip_hex.o vpip_oct.o vpip_to_dec.o vpip_format.o vvp_vpi.o -lm -lbz2 -lz -lpthread -lreadline -ltermcap -ldl make[2]: Leaving directory '/build/iverilog-11.0/vvp' make[2]: Entering directory '/build/iverilog-11.0/vpi' mkdir dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -c libvpi.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_table.c -o sys_table.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_convert.c -o sys_convert.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_countdrivers.c -o sys_countdrivers.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_darray.c -o sys_darray.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_deposit.c -o sys_deposit.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_display.c -o sys_display.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_fileio.c -o sys_fileio.o rm -f libvpi.a ar cqv libvpi.a libvpi.o a - libvpi.o ranlib libvpi.a gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_finish.c -o sys_finish.o mv sys_deposit.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_icarus.c -o sys_icarus.o mv sys_table.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_plusargs.c -o sys_plusargs.o mv sys_countdrivers.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_queue.c -o sys_queue.o mv sys_convert.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_random.c -o sys_random.o mv sys_finish.d dep mv sys_darray.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_random_mti.c -o sys_random_mti.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_readmem.c -o sys_readmem.o mv sys_icarus.d dep flex -t sys_readmem_lex.lex > sys_readmem_lex.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_scanf.c -o sys_scanf.o mv sys_random_mti.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_sdf.c -o sys_sdf.o mv sys_plusargs.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_time.c -o sys_time.o mv sys_readmem.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_vcd.c -o sys_vcd.o mv sys_fileio.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_vcdoff.c -o sys_vcdoff.o mv sys_time.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vcd_priv.c -o vcd_priv.o mv sys_sdf.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c mt19937int.c -o mt19937int.o mv sys_random.d dep mv sys_vcdoff.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_priv.c -o sys_priv.o bison --verbose -t -p sdf -d -o sdf_parse.c sdf_parse.y mv sys_queue.d dep flex -t sdf_lexor.lex > sdf_lexor.c mv mt19937int.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stringheap.c -o stringheap.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vams_simparam.c -o vams_simparam.o mv vcd_priv.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c table_mod.c -o table_mod.o mv stringheap.d dep bison --verbose -t -p tblmod -d -o table_mod_parse.c table_mod_parse.y flex -t table_mod_lexor.lex > table_mod_lexor.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_lxt.c -o sys_lxt.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lxt_write.c -o lxt_write.o mv sys_priv.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_lxt2.c -o sys_lxt2.o mv vams_simparam.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lxt2_write.c -o lxt2_write.o mv sys_vcd.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_fst.c -o sys_fst.o mv table_mod.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fstapi.c -o fstapi.o mv sys_scanf.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fastlz.c -o fastlz.o mv sys_lxt.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lz4.c -o lz4.o mv sys_fst.d dep g++ -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vcd_priv2.cc -o vcd_priv2.o mv sys_display.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c va_math.c -o va_math.o mv fastlz.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_clog2.c -o sys_clog2.o mv sys_clog2.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2005_math.c -o v2005_math.o mv sys_lxt2.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_table.c -o v2009_table.o mv va_math.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_array.c -o v2009_array.o mv v2009_table.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_bitvec.c -o v2009_bitvec.o mv v2005_math.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_enum.c -o v2009_enum.o mv v2009_array.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_string.c -o v2009_string.o mv v2009_bitvec.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_table.c -o vhdl_table.o mv v2009_string.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_textio.c -o vhdl_textio.o mv lxt2_write.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_debug.c -o vpi_debug.o mv v2009_enum.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_readmem_lex.c -o sys_readmem_lex.o mv vpi_debug.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sdf_parse.c -o sdf_parse.o mv vhdl_table.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sdf_lexor.c -o sdf_lexor.o mv lxt_write.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c table_mod_parse.c -o table_mod_parse.o mv vhdl_textio.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c table_mod_lexor.c -o table_mod_lexor.o mv vcd_priv2.d dep gcc -shared -o va_math.vpi va_math.o -L. -Wl,-z,relro -Wl,-z,now -lvpi gcc -shared -o v2005_math.vpi sys_clog2.o v2005_math.o -L. -Wl,-z,relro -Wl,-z,now -lvpi gcc -shared -o v2009.vpi v2009_table.o v2009_array.o v2009_bitvec.o v2009_enum.o v2009_string.o sys_priv.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap gcc -shared -o vhdl_sys.vpi vhdl_table.o sys_priv.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap mv sdf_parse.d dep gcc -shared -o vhdl_textio.vpi vhdl_textio.o sys_priv.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap gcc -shared -o vpi_debug.vpi vpi_debug.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap mv sdf_lexor.d dep mv table_mod_lexor.d dep mv sys_readmem_lex.d dep mv table_mod_parse.d dep mv lz4.d dep mv fstapi.d dep g++ -shared -o system.vpi sys_table.o sys_convert.o sys_countdrivers.o sys_darray.o sys_deposit.o sys_display.o sys_fileio.o sys_finish.o sys_icarus.o sys_plusargs.o sys_queue.o sys_random.o sys_random_mti.o sys_readmem.o sys_readmem_lex.o sys_scanf.o sys_sdf.o sys_time.o sys_vcd.o sys_vcdoff.o vcd_priv.o mt19937int.o sys_priv.o sdf_parse.o sdf_lexor.o stringheap.o vams_simparam.o table_mod.o table_mod_parse.o table_mod_lexor.o sys_lxt.o lxt_write.o sys_lxt2.o lxt2_write.o sys_fst.o fstapi.o fastlz.o lz4.o vcd_priv2.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap make[2]: Leaving directory '/build/iverilog-11.0/vpi' make[2]: Entering directory '/build/iverilog-11.0/libveriuser' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c asynch.c -o asynch.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c delay.c -o delay.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c exprinfo.c -o exprinfo.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c finish.c -o finish.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getcstringp.c -o getcstringp.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getinstance.c -o getinstance.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getlongp.c -o getlongp.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getp.c -o getp.o mv asynch.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getsimtime.c -o getsimtime.o mv getinstance.d dep mv exprinfo.d dep getp.c: In function 'tf_igetp': getp.c:55:12: warning: cast from pointer to integer of different size [-Wpointer-to-int-cast] 55 | rtn = (int) value.value.str; /* Oh my */ | ^ gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c io_print.c -o io_print.o mv getcstringp.d dep mv finish.d dep mv delay.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c math.c -o math.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c mc_scan_plusargs.c -o mc_scan_plusargs.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nodeinfo.c -o nodeinfo.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nump.c -o nump.o mv getlongp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c putlongp.c -o putlongp.o mv nump.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c putp.c -o putp.o mv getp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c spname.c -o spname.o mv nodeinfo.d dep mv io_print.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c typep.c -o typep.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c workarea.c -o workarea.o mv mc_scan_plusargs.d dep mv math.d dep mv putlongp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c veriusertfs.c -o veriusertfs.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c priv.c -o priv.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_close.c -o a_close.o mv getsimtime.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_compare_handles.c -o a_compare_handles.o mv spname.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_configure.c -o a_configure.o mv typep.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_argc.c -o a_fetch_argc.o mv workarea.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_argv.c -o a_fetch_argv.o mv putp.d dep mv a_close.d dep mv a_compare_handles.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_dir.c -o a_fetch_dir.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_fullname.c -o a_fetch_fullname.o mv priv.d dep mv a_fetch_argc.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_location.c -o a_fetch_location.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_param.c -o a_fetch_param.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_range.c -o a_fetch_range.o mv a_configure.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_tfarg.c -o a_fetch_tfarg.o mv a_fetch_argv.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_time.c -o a_fetch_time.o mv a_fetch_location.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_type.c -o a_fetch_type.o mv a_fetch_param.d dep mv a_fetch_range.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_type_str.c -o a_fetch_type_str.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_value.c -o a_fetch_value.o mv a_fetch_dir.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_by_name.c -o a_handle_by_name.o mv a_fetch_fullname.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_hiconn.c -o a_handle_hiconn.o mv a_fetch_time.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_object.c -o a_handle_object.o mv a_fetch_tfarg.d dep mv a_fetch_type.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_parent.c -o a_handle_parent.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_simulated_net.c -o a_handle_simulated_net.o mv veriusertfs.d dep mv a_handle_hiconn.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_tfarg.c -o a_handle_tfarg.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_initialize.c -o a_initialize.o mv a_fetch_type_str.d dep mv a_handle_object.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next.c -o a_next.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next_bit.c -o a_next_bit.o mv a_handle_by_name.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next_port.c -o a_next_port.o mv a_handle_simulated_net.d dep mv a_fetch_value.d dep mv a_handle_parent.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next_topmod.c -o a_next_topmod.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_object_of_type.c -o a_object_of_type.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_product_version.c -o a_product_version.o mv a_handle_tfarg.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_set_value.c -o a_set_value.o mv a_initialize.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_vcl.c -o a_vcl.o mv a_next_bit.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_version.c -o a_version.o mv a_next_port.d dep mv a_next.d dep mv a_next_topmod.d dep mv a_product_version.d dep mv a_set_value.d dep mv a_version.d dep mv a_object_of_type.d dep mv a_vcl.d dep ld -r -o libveriuser.o asynch.o delay.o exprinfo.o finish.o getcstringp.o getinstance.o getlongp.o getp.o getsimtime.o io_print.o math.o mc_scan_plusargs.o nodeinfo.o nump.o putlongp.o putp.o spname.o typep.o workarea.o veriusertfs.o priv.o a_close.o a_compare_handles.o a_configure.o a_fetch_argc.o a_fetch_argv.o a_fetch_dir.o a_fetch_fullname.o a_fetch_location.o a_fetch_param.o a_fetch_range.o a_fetch_tfarg.o a_fetch_time.o a_fetch_type.o a_fetch_type_str.o a_fetch_value.o a_handle_by_name.o a_handle_hiconn.o a_handle_object.o a_handle_parent.o a_handle_simulated_net.o a_handle_tfarg.o a_initialize.o a_next.o a_next_bit.o a_next_port.o a_next_topmod.o a_object_of_type.o a_product_version.o a_set_value.o a_vcl.o a_version.o rm -f libveriuser.a ar cvq libveriuser.a libveriuser.o a - libveriuser.o ranlib libveriuser.a make[2]: Leaving directory '/build/iverilog-11.0/libveriuser' make[2]: Entering directory '/build/iverilog-11.0/cadpli' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cadpli.c mv cadpli.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o cadpli.vpl cadpli.o ../libveriuser/libveriuser.o -L../vpi -lvpi make[2]: Leaving directory '/build/iverilog-11.0/cadpli' make[2]: Entering directory '/build/iverilog-11.0/tgt-null' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c null.c -o null.o mv null.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o null.tgt null.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-null' make[2]: Entering directory '/build/iverilog-11.0/tgt-stub' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stub.c -o stub.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c classes.c -o classes.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c constant.c -o constant.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c enumerate.c -o enumerate.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression.c -o expression.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c statement.c -o statement.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c switches.c -o switches.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c types.c -o types.o mv classes.d dep mv constant.d dep mv enumerate.d dep mv types.d dep mv switches.d dep mv statement.d dep mv expression.d dep mv stub.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o stub.tgt stub.o classes.o constant.o enumerate.o expression.o statement.o switches.o types.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[2]: Entering directory '/build/iverilog-11.0/tgt-vvp' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp.c -o vvp.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_class.c -o draw_class.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_delay.c -o draw_delay.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_enum.c -o draw_enum.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_mux.c -o draw_mux.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_net_input.c -o draw_net_input.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_substitute.c -o draw_substitute.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_switch.c -o draw_switch.o mv draw_substitute.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_ufunc.c -o draw_ufunc.o mv draw_enum.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_vpi.c -o draw_vpi.o mv draw_class.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_bool.c -o eval_bool.o mv draw_mux.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_condit.c -o eval_condit.o mv draw_switch.d dep mv vvp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_expr.c -o eval_expr.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_object.c -o eval_object.o mv draw_delay.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_real.c -o eval_real.o mv eval_bool.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_string.c -o eval_string.o mv eval_condit.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_vec4.c -o eval_vec4.o mv draw_ufunc.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c modpath.c -o modpath.o mv eval_object.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stmt_assign.c -o stmt_assign.o mv eval_string.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_process.c -o vvp_process.o mv eval_expr.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_scope.c -o vvp_scope.o mv draw_vpi.d dep mv modpath.d dep echo 'flag:VVP_EXECUTABLE=/usr/bin/vvp' | cat ./vvp.conf.in - > vvp.conf mv draw_net_input.d dep echo 'flag:VVP_EXECUTABLE=/usr/bin/vvp' | cat ./vvp-s.conf.in - > vvp-s.conf mv eval_real.d dep mv eval_vec4.d dep mv stmt_assign.d dep mv vvp_process.d dep mv vvp_scope.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o vvp.tgt vvp.o draw_class.o draw_delay.o draw_enum.o draw_mux.o draw_net_input.o draw_substitute.o draw_switch.o draw_ufunc.o draw_vpi.o eval_bool.o eval_condit.o eval_expr.o eval_object.o eval_real.o eval_string.o eval_vec4.o modpath.o stmt_assign.o vvp_process.o vvp_scope.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[2]: Entering directory '/build/iverilog-11.0/tgt-vhdl' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl.cc -o vhdl.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c state.cc -o state.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_element.cc -o vhdl_element.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_type.cc -o vhdl_type.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_syntax.cc -o vhdl_syntax.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.cc -o scope.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c process.cc -o process.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stmt.cc -o stmt.o mv vhdl_element.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expr.cc -o expr.o mv process.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm.cc -o lpm.o mv vhdl.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c support.cc -o support.o mv vhdl_type.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cast.cc -o cast.o mv state.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic.cc -o logic.o mv support.d dep mv cast.d dep mv expr.d dep mv lpm.d dep mv scope.d dep mv vhdl_syntax.d dep mv logic.d dep mv stmt.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o vhdl.tgt vhdl.o state.o vhdl_element.o vhdl_type.o vhdl_syntax.o scope.o process.o stmt.o expr.o lpm.o support.o cast.o logic.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Entering directory '/build/iverilog-11.0/tgt-vlog95' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vlog95.c -o vlog95.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c event.c -o event.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expr.c -o expr.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic_lpm.c -o logic_lpm.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c misc.c -o misc.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c numbers.c -o numbers.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.c -o scope.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stmt.c -o stmt.o mv event.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c udp.c -o udp.o mv vlog95.d dep mv misc.d dep mv numbers.d dep mv udp.d dep mv expr.d dep mv scope.d dep mv stmt.d dep mv logic_lpm.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o vlog95.tgt vlog95.o event.o expr.o logic_lpm.o misc.o numbers.o scope.o stmt.o udp.o -lm make[2]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Entering directory '/build/iverilog-11.0/tgt-pcb' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pcb.cc -o pcb.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.cc -o scope.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c show_netlist.cc -o show_netlist.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c show_pcb.cc -o show_pcb.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c footprint.cc -o footprint.o bison --verbose -t -p fp --defines=fp.h -o fp.cc fp.y flex -s -ofp_lex.cc ./fp.lex g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fp.cc -o fp.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fp_lex.cc -o fp_lex.o mv show_netlist.d dep mv pcb.d dep mv show_pcb.d dep mv fp_lex.d dep mv fp.d dep mv footprint.d dep mv scope.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o pcb.tgt pcb.o scope.o show_netlist.o show_pcb.o footprint.o fp.o fp_lex.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[2]: Entering directory '/build/iverilog-11.0/tgt-blif' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c blif.cc -o blif.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c constants.cc -o constants.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic_gate.cc -o logic_gate.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm.cc -o lpm.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_add.cc -o lpm_add.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_cmp_eq.cc -o lpm_cmp_eq.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_cmp_gt.cc -o lpm_cmp_gt.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_ff.cc -o lpm_ff.o mv lpm_cmp_eq.d dep mv lpm_ff.d dep mv constants.d dep mv lpm.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_mux.cc -o lpm_mux.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_part_vp.cc -o lpm_part_vp.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_re_logic.cc -o lpm_re_logic.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_shift.cc -o lpm_shift.o mv blif.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_sign_ext.cc -o lpm_sign_ext.o mv logic_gate.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nex_data.cc -o nex_data.o mv lpm_add.d dep mv lpm_cmp_gt.d dep mv lpm_re_logic.d dep mv lpm_part_vp.d dep mv lpm_sign_ext.d dep mv lpm_shift.d dep mv lpm_mux.d dep mv nex_data.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o blif.tgt blif.o constants.o logic_gate.o lpm.o lpm_add.o lpm_cmp_eq.o lpm_cmp_gt.o lpm_ff.o lpm_mux.o lpm_part_vp.o lpm_re_logic.o lpm_shift.o lpm_sign_ext.o nex_data.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[2]: Entering directory '/build/iverilog-11.0/tgt-sizer' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sizer.cc -o sizer.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scan_lpms.cc -o scan_lpms.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scan_logs.cc -o scan_logs.o mv scan_logs.d dep mv scan_lpms.d dep mv sizer.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o sizer.tgt sizer.o scan_lpms.o scan_logs.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[2]: Entering directory '/build/iverilog-11.0/driver' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c -DIVL_ROOT='"/usr/lib/aarch64-linux-gnu/ivl"' -DIVL_SUFFIX='""' -DIVL_INC='"/usr/include"' -DIVL_LIB='"/usr/lib/aarch64-linux-gnu"' -DDLLIB='"-ldl"' ./main.c gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c substit.c -o substit.o flex -s -t cflexor.lex > cflexor.c bison --verbose -t -p cf -d -o cfparse.c cfparse.y ../version.exe `head -1 ./iverilog.man.in`'\n' > iverilog.man tail -n +2 ./iverilog.man.in >> iverilog.man gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cflexor.c -o cflexor.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -fdebug-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cfparse.c -o cfparse.o mv substit.d dep ./main.c: In function 'main': ./main.c:473:39: warning: '%s' directive output may be truncated writing up to 4095 bytes into a region of size 4092 [-Wformat-truncation=] 473 | snprintf(tmp, sizeof tmp, " -C\"%s\"", iconfig_common_path); | ^~ ~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/stdio.h:867, from ./main.c:53: /usr/include/aarch64-linux-gnu/bits/stdio2.h:67:10: note: '__builtin___snprintf_chk' output between 6 and 4101 bytes into a destination of size 4096 67 | return __builtin___snprintf_chk (__s, __n, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 68 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ./main.c:337:58: warning: '%s' directive output may be truncated writing up to 4095 bytes into a region of size 4080 [-Wformat-truncation=] 337 | snprintf(tmp, sizeof tmp, "%s%civl -V -C\"%s\" -C\"%s\"", base, sep, | ^~ 338 | iconfig_path, iconfig_common_path); | ~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/stdio.h:867, from ./main.c:53: /usr/include/aarch64-linux-gnu/bits/stdio2.h:67:10: note: '__builtin___snprintf_chk' output 18 or more bytes (assuming 4113) into a destination of size 4096 67 | return __builtin___snprintf_chk (__s, __n, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 68 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ mv cfparse.d dep mv cflexor.d dep mv main.d dep gcc -Wl,-z,relro -Wl,-z,now main.o substit.o cflexor.o cfparse.o -o iverilog make[2]: Leaving directory '/build/iverilog-11.0/driver' make[1]: Leaving directory '/build/iverilog-11.0' dh_auto_test make -j8 check VERBOSE=1 make[1]: Entering directory '/build/iverilog-11.0' make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[2]: Entering directory '/build/iverilog-11.0/ivlpp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/ivlpp' make[2]: Entering directory '/build/iverilog-11.0/vhdlpp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[2]: Entering directory '/build/iverilog-11.0/vvp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/vvp' make[2]: Entering directory '/build/iverilog-11.0/vpi' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/vpi' make[2]: Entering directory '/build/iverilog-11.0/libveriuser' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/libveriuser' make[2]: Entering directory '/build/iverilog-11.0/cadpli' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/cadpli' make[2]: Entering directory '/build/iverilog-11.0/tgt-null' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-null' make[2]: Entering directory '/build/iverilog-11.0/tgt-stub' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[2]: Entering directory '/build/iverilog-11.0/tgt-vvp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[2]: Entering directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Entering directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Entering directory '/build/iverilog-11.0/tgt-pcb' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[2]: Entering directory '/build/iverilog-11.0/tgt-blif' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[2]: Entering directory '/build/iverilog-11.0/tgt-sizer' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[2]: Entering directory '/build/iverilog-11.0/driver' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/driver' make -C ivlpp check && make -C vhdlpp check && make -C vvp check && make -C vpi check && make -C libveriuser check && make -C cadpli check && make -C tgt-null check && make -C tgt-stub check && make -C tgt-vvp check && make -C tgt-vhdl check && make -C tgt-vlog95 check && make -C tgt-pcb check && make -C tgt-blif check && make -C tgt-sizer check && make -C driver check && true make[2]: Entering directory '/build/iverilog-11.0/ivlpp' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/ivlpp' make[2]: Entering directory '/build/iverilog-11.0/vhdlpp' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[2]: Entering directory '/build/iverilog-11.0/vvp' ./vvp -M../vpi ./examples/hello.vvp | grep 'Hello, World.' Hello, World. make[2]: Leaving directory '/build/iverilog-11.0/vvp' make[2]: Entering directory '/build/iverilog-11.0/vpi' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/vpi' make[2]: Entering directory '/build/iverilog-11.0/libveriuser' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/libveriuser' make[2]: Entering directory '/build/iverilog-11.0/cadpli' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/cadpli' make[2]: Entering directory '/build/iverilog-11.0/tgt-null' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-null' make[2]: Entering directory '/build/iverilog-11.0/tgt-stub' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[2]: Entering directory '/build/iverilog-11.0/tgt-vvp' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[2]: Entering directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Entering directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Entering directory '/build/iverilog-11.0/tgt-pcb' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[2]: Entering directory '/build/iverilog-11.0/tgt-blif' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[2]: Entering directory '/build/iverilog-11.0/tgt-sizer' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[2]: Entering directory '/build/iverilog-11.0/driver' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/driver' test -r check.conf || cp ./check.conf . driver/iverilog -B. -BMvpi -BPivlpp -tcheck -ocheck.vvp ./examples/hello.vl vvp/vvp -M- -M./vpi ./check.vvp | grep 'Hello, World' Hello, World make[1]: Leaving directory '/build/iverilog-11.0' create-stamp debian/debhelper-build-stamp dh_prep debian/rules override_dh_auto_install make[1]: Entering directory '/build/iverilog-11.0' /usr/bin/make prefix=/build/iverilog-11.0/debian/iverilog/usr install make[2]: Entering directory '/build/iverilog-11.0' /usr/bin/make -C ivlpp all && /usr/bin/make -C vhdlpp all && /usr/bin/make -C vvp all && /usr/bin/make -C vpi all && /usr/bin/make -C libveriuser all && /usr/bin/make -C cadpli all && /usr/bin/make -C tgt-null all && /usr/bin/make -C tgt-stub all && /usr/bin/make -C tgt-vvp all && /usr/bin/make -C tgt-vhdl all && /usr/bin/make -C tgt-vlog95 all && /usr/bin/make -C tgt-pcb all && /usr/bin/make -C tgt-blif all && /usr/bin/make -C tgt-sizer all && /usr/bin/make -C driver all && true make[3]: Entering directory '/build/iverilog-11.0/ivlpp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/ivlpp' make[3]: Entering directory '/build/iverilog-11.0/vhdlpp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[3]: Entering directory '/build/iverilog-11.0/vvp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/vvp' make[3]: Entering directory '/build/iverilog-11.0/vpi' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/vpi' make[3]: Entering directory '/build/iverilog-11.0/libveriuser' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/libveriuser' make[3]: Entering directory '/build/iverilog-11.0/cadpli' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/cadpli' make[3]: Entering directory '/build/iverilog-11.0/tgt-null' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-null' make[3]: Entering directory '/build/iverilog-11.0/tgt-stub' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[3]: Entering directory '/build/iverilog-11.0/tgt-vvp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[3]: Entering directory '/build/iverilog-11.0/tgt-vhdl' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[3]: Entering directory '/build/iverilog-11.0/tgt-vlog95' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[3]: Entering directory '/build/iverilog-11.0/tgt-pcb' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[3]: Entering directory '/build/iverilog-11.0/tgt-blif' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[3]: Entering directory '/build/iverilog-11.0/tgt-sizer' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[3]: Entering directory '/build/iverilog-11.0/driver' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/driver' ./mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" \ "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog" \ "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" \ "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/include" \ "/build/iverilog-11.0/debian/iverilog/usr/share/man" \ "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1" mkdir -p -- /build/iverilog-11.0/debian/iverilog/usr/bin /build/iverilog-11.0/debian/iverilog/usr/include/iverilog /build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl /build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/include /build/iverilog-11.0/debian/iverilog/usr/share/man /build/iverilog-11.0/debian/iverilog/usr/share/man/man1 /usr/bin/install -c -m 644 iverilog-vpi.man "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1/iverilog-vpi.1" /usr/bin/install -c ./iverilog-vpi "/build/iverilog-11.0/debian/iverilog/usr/bin/iverilog-vpi" /usr/bin/install -c ./ivl "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/ivl" /usr/bin/install -c -m 644 ./constants.vams "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/include/constants.vams" /usr/bin/install -c -m 644 ./disciplines.vams "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/include/disciplines.vams" /usr/bin/install -c -m 644 ./ivl_target.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/ivl_target.h" /usr/bin/install -c -m 644 ./_pli_types.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/_pli_types.h" /usr/bin/install -c -m 644 ./sv_vpi_user.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/sv_vpi_user.h" /usr/bin/install -c -m 644 ./vpi_user.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/vpi_user.h" /usr/bin/install -c -m 644 ./acc_user.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/acc_user.h" /usr/bin/install -c -m 644 ./veriuser.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/veriuser.h" /usr/bin/make -C ivlpp install && /usr/bin/make -C vhdlpp install && /usr/bin/make -C vvp install && /usr/bin/make -C vpi install && /usr/bin/make -C libveriuser install && /usr/bin/make -C cadpli install && /usr/bin/make -C tgt-null install && /usr/bin/make -C tgt-stub install && /usr/bin/make -C tgt-vvp install && /usr/bin/make -C tgt-vhdl install && /usr/bin/make -C tgt-vlog95 install && /usr/bin/make -C tgt-pcb install && /usr/bin/make -C tgt-blif install && /usr/bin/make -C tgt-sizer install && /usr/bin/make -C driver install && true make[3]: Entering directory '/build/iverilog-11.0/ivlpp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./ivlpp "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/ivlpp" make[3]: Leaving directory '/build/iverilog-11.0/ivlpp' make[3]: Entering directory '/build/iverilog-11.0/vhdlpp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./vhdlpp "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vhdlpp" make[3]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[3]: Entering directory '/build/iverilog-11.0/vvp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu" "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1" /usr/bin/install -c -m 644 vvp.man "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1/vvp.1" /usr/bin/install -c ./vvp "/build/iverilog-11.0/debian/iverilog/usr/bin/vvp" make[3]: Leaving directory '/build/iverilog-11.0/vvp' make[3]: Entering directory '/build/iverilog-11.0/vpi' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c -m 644 ./libvpi.a "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/libvpi.a" /usr/bin/install -c ./system.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/system.vpi" /usr/bin/install -c ./va_math.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/va_math.vpi" /usr/bin/install -c ./v2005_math.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/v2005_math.vpi" /usr/bin/install -c ./v2009.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/v2009.vpi" /usr/bin/install -c ./vhdl_sys.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vhdl_sys.vpi" /usr/bin/install -c ./vhdl_textio.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vhdl_textio.vpi" /usr/bin/install -c ./vpi_debug.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vpi_debug.vpi" make[3]: Leaving directory '/build/iverilog-11.0/vpi' make[3]: Entering directory '/build/iverilog-11.0/libveriuser' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu" /usr/bin/install -c -m 644 ./libveriuser.a "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/libveriuser.a" make[3]: Leaving directory '/build/iverilog-11.0/libveriuser' make[3]: Entering directory '/build/iverilog-11.0/cadpli' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./cadpli.vpl "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/cadpli.vpl" make[3]: Leaving directory '/build/iverilog-11.0/cadpli' make[3]: Entering directory '/build/iverilog-11.0/tgt-null' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./null.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/null.tgt" /usr/bin/install -c -m 644 ./null.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/null.conf" /usr/bin/install -c -m 644 ./null-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/null-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-null' make[3]: Entering directory '/build/iverilog-11.0/tgt-stub' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./stub.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/stub.tgt" /usr/bin/install -c -m 644 ./stub.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/stub.conf" /usr/bin/install -c -m 644 ./stub-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/stub-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[3]: Entering directory '/build/iverilog-11.0/tgt-vvp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./vvp.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vvp.tgt" /usr/bin/install -c -m 644 ./vvp.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vvp.conf" /usr/bin/install -c -m 644 ./vvp-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vvp-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[3]: Entering directory '/build/iverilog-11.0/tgt-vhdl' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./vhdl.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vhdl.tgt" /usr/bin/install -c -m 644 ./vhdl.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vhdl.conf" /usr/bin/install -c -m 644 ./vhdl-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vhdl-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[3]: Entering directory '/build/iverilog-11.0/tgt-vlog95' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./vlog95.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vlog95.tgt" /usr/bin/install -c -m 644 ./vlog95.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vlog95.conf" /usr/bin/install -c -m 644 ./vlog95-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/vlog95-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[3]: Entering directory '/build/iverilog-11.0/tgt-pcb' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./pcb.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/pcb.tgt" /usr/bin/install -c -m 644 ./pcb.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/pcb.conf" /usr/bin/install -c -m 644 ./pcb-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/pcb-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[3]: Entering directory '/build/iverilog-11.0/tgt-blif' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./blif.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/blif.tgt" /usr/bin/install -c -m 644 ./blif.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/blif.conf" /usr/bin/install -c -m 644 ./blif-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/blif-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[3]: Entering directory '/build/iverilog-11.0/tgt-sizer' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl" /usr/bin/install -c ./sizer.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/sizer.tgt" /usr/bin/install -c -m 644 ./sizer.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/sizer.conf" /usr/bin/install -c -m 644 ./sizer-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/aarch64-linux-gnu/ivl/sizer-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[3]: Entering directory '/build/iverilog-11.0/driver' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1" /usr/bin/install -c -m 644 iverilog.man "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1/iverilog.1" /usr/bin/install -c ./iverilog "/build/iverilog-11.0/debian/iverilog/usr/bin/iverilog" make[3]: Leaving directory '/build/iverilog-11.0/driver' make[2]: Leaving directory '/build/iverilog-11.0' make[1]: Leaving directory '/build/iverilog-11.0' dh_installdocs dh_installchangelogs dh_installexamples dh_installman dh_perl dh_link dh_strip_nondeterminism debian/rules override_dh_compress make[1]: Entering directory '/build/iverilog-11.0' dh_compress --exclude=.v make[1]: Leaving directory '/build/iverilog-11.0' dh_fixperms dh_missing dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dh_md5sums dh_builddeb dpkg-deb: building package 'iverilog' in '../iverilog_11.0-1_arm64.deb'. dpkg-deb: building package 'iverilog-dbgsym' in '../iverilog-dbgsym_11.0-1_arm64.deb'. dpkg-genbuildinfo --build=binary dpkg-genchanges --build=binary >../iverilog_11.0-1_arm64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/3401 and its subdirectories I: Current time: Wed Aug 11 23:15:10 -12 2021 I: pbuilder-time-stamp: 1628766910 Thu Aug 12 11:15:13 UTC 2021 I: 1st build successful. Starting 2nd build on remote node codethink15-arm64.debian.net. Thu Aug 12 11:15:13 UTC 2021 I: Preparing to do remote build '2' on codethink15-arm64.debian.net. Thu Aug 12 11:22:10 UTC 2021 I: Deleting $TMPDIR on codethink15-arm64.debian.net. Thu Aug 12 11:22:11 UTC 2021 I: iverilog_11.0-1_arm64.changes: Format: 1.8 Date: Fri, 02 Oct 2020 17:56:04 +0200 Source: iverilog Binary: iverilog iverilog-dbgsym Architecture: arm64 Version: 11.0-1 Distribution: unstable Urgency: medium Maintainer: Debian Electronics Team Changed-By: Carsten Schoenert Description: iverilog - Icarus verilog compiler Changes: iverilog (11.0-1) unstable; urgency=medium . * New upstream version 11.0 * rebuild patch queue from patch-queue branch added patches: 0001-typo-fix-correct-misspelled-word-variable.patch 0002-typo-fix-correct-misspelled-word-Parameter.patch removed patch (obsolete due new upstream version): gcc10-extern.patch * d/rules: enable hardening functionality Checksums-Sha1: 5a58ee605f3df8fb5ee6a5bc04877a64db0bc62c 16676840 iverilog-dbgsym_11.0-1_arm64.deb 5a78974db9502c2a178ee3dfca3c7042772d5527 5141 iverilog_11.0-1_arm64.buildinfo bdb1811e33c813f4624797709b486720b4464b56 1795820 iverilog_11.0-1_arm64.deb Checksums-Sha256: 6c185459462be35f383f3f1df36faabd890437ffdcc0ae1e24a9e46dbb3af638 16676840 iverilog-dbgsym_11.0-1_arm64.deb df00427bcf13441c1d034724a0b11daead98cb9abaf7a51b062d54e8bdb28e4d 5141 iverilog_11.0-1_arm64.buildinfo e75670dfa25de6ef53fa54263d2980ce635fb0d5c28b01c71a1d09c75ea1627b 1795820 iverilog_11.0-1_arm64.deb Files: 3628cad4b484c797faed3ee60b993577 16676840 debug optional iverilog-dbgsym_11.0-1_arm64.deb c38d1b3663234a1db96f46c9d8dff0fa 5141 electronics optional iverilog_11.0-1_arm64.buildinfo 4ea3a56332620408bf604a313f0cabd8 1795820 electronics optional iverilog_11.0-1_arm64.deb Thu Aug 12 11:22:12 UTC 2021 I: diffoscope 177 will be used to compare the two builds: # Profiling output for: /usr/bin/diffoscope --html /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/iverilog_11.0-1.diffoscope.html --text /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/iverilog_11.0-1.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/iverilog_11.0-1.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/b1/iverilog_11.0-1_arm64.changes /srv/reproducible-results/rbuild-debian/tmp.b9YBLimJlG/b2/iverilog_11.0-1_arm64.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 0.386s) 0.386s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 0.175s) 0.174s 10 calls diffoscope.comparators.binary.FilesystemFile 0.000s 8 calls abc.DotChangesFile Thu Aug 12 11:22:13 UTC 2021 I: diffoscope 177 found no differences in the changes files, and a .buildinfo file also exists. Thu Aug 12 11:22:14 UTC 2021 I: iverilog from bullseye built successfully and reproducibly on arm64. Thu Aug 12 11:22:15 UTC 2021 I: Submitting .buildinfo files to external archives: Thu Aug 12 11:22:15 UTC 2021 I: Submitting 8.0K b1/iverilog_11.0-1_arm64.buildinfo.asc Thu Aug 12 11:22:16 UTC 2021 I: Submitting 8.0K b2/iverilog_11.0-1_arm64.buildinfo.asc Thu Aug 12 11:22:17 UTC 2021 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Thu Aug 12 11:22:17 UTC 2021 I: Done submitting .buildinfo files. Thu Aug 12 11:22:17 UTC 2021 I: Removing signed iverilog_11.0-1_arm64.buildinfo.asc files: removed './b1/iverilog_11.0-1_arm64.buildinfo.asc' removed './b2/iverilog_11.0-1_arm64.buildinfo.asc'