Wed Apr 12 11:30:35 UTC 2023 I: starting to build iverilog/bookworm/i386 on jenkins on '2023-04-12 11:30' Wed Apr 12 11:30:35 UTC 2023 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/i386_11/14381/console.log Wed Apr 12 11:30:35 UTC 2023 I: Downloading source for bookworm/iverilog=11.0-1.1 --2023-04-12 11:30:35-- http://cdn-fastly.deb.debian.org/debian/pool/main/i/iverilog/iverilog_11.0-1.1.dsc Connecting to 78.137.99.97:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 2039 (2.0K) [text/prs.lines.tag] Saving to: ‘iverilog_11.0-1.1.dsc’ 0K . 100% 123M=0s 2023-04-12 11:30:35 (123 MB/s) - ‘iverilog_11.0-1.1.dsc’ saved [2039/2039] Wed Apr 12 11:30:35 UTC 2023 I: iverilog_11.0-1.1.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: iverilog Binary: iverilog Architecture: any Version: 11.0-1.1 Maintainer: Debian Electronics Team Uploaders: أحمد المحمودي (Ahmed El-Mahmoudy) Homepage: http://iverilog.icarus.com Standards-Version: 4.5.0 Vcs-Browser: https://salsa.debian.org/electronics-team/iverilog Vcs-Git: https://salsa.debian.org/electronics-team/iverilog.git Build-Depends: debhelper-compat (= 13), gperf, bison, flex, zlib1g-dev, libbz2-dev, libreadline-dev Package-List: iverilog deb electronics optional arch=any Checksums-Sha1: 12b59fc4af95ab5c73f4d41d9484f16e93c68959 1682457 iverilog_11.0.orig.tar.gz c38751c8aa991e7be7fb4aee4e82dc9b17510ec4 10532 iverilog_11.0-1.1.debian.tar.xz Checksums-Sha256: 6327fb900e66b46803d928b7ca439409a0dc32731d82143b20387be0833f1c95 1682457 iverilog_11.0.orig.tar.gz 2f29cceecda3d0f56107f09fb276fb616a9505c063d772ca2877f30ffb9437dd 10532 iverilog_11.0-1.1.debian.tar.xz Files: 5ce793a194dcd02edea3266a018b7641 1682457 iverilog_11.0.orig.tar.gz 0d65b13e9c5048081fc3ddab02b554b7 10532 iverilog_11.0-1.1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEEOvp1f6xuoR0v9F3wiNJCh6LYmLEFAmGICwAACgkQiNJCh6LY mLGG9A/6Agq4idyx6Nm7flBDTvR0F153pRsSggO0vD1+q33JZ33qj1fjbw4VwNf2 vcMT/wrg2FlhW3jzz0MYg2G6QKAIeck6qUyiOg8fydSIww51k7H7dbf+1HVt9niL yVFz+BRfuEN0clQFhs12t5e2yIHKhw1h49X0IQufz4XAQP0ZBORyEdDuUxduvuuj vgyNw6RhQ23bVTVnRyb4w2IGE/2pEg3UxKNToweAwr0/7hLgjwOUc5nS54iL9bFB 9xlsc4vEwZiS4P9I8QCl9Af6RDE7fQwLcDvWpHGJAm9aVMnNMMPCFA3WnG4jLfQo wFmP4s2g+xZFacDJRBS36qp6DYhtTCDbdh8bu25kadICOCiS7BVHj6omZTk52GuV kCrvXIfRmFAR9ako0lLcbjNfx05IUMvCi3YWrz7W4Kbo/Twqn1wvdrr5dq6wkTFM lhEQTnBxDNCXmyodaqfrJ9/gxBg3lOv8M89z9iYF7bnWjL03p/4FFgKHPSp22ize CpZk1g9XwrlI71SzIU0jCZGXv9gyShVHj1QU01ouCDUbDZV+L/bs5eMifUJ6cQdS bNWtUeGFfwB+BL6m0rXxGWWwEGhoJwQsbLiVU6LLIlUlXDYcI8hg2oiAOGaj1XxW yJ6qUGVs4/btyMJT+qUkyFNWCZ7za/tiL3fPlYNZbhnwdWqBmDk= =vY0Z -----END PGP SIGNATURE----- Wed Apr 12 11:30:35 UTC 2023 I: Checking whether the package is not for us Wed Apr 12 11:30:35 UTC 2023 I: Starting 1st build on remote node ionos2-i386.debian.net. Wed Apr 12 11:30:35 UTC 2023 I: Preparing to do remote build '1' on ionos2-i386.debian.net. Wed Apr 12 11:34:01 UTC 2023 I: Deleting $TMPDIR on ionos2-i386.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Tue Apr 11 23:30:37 -12 2023 I: pbuilder-time-stamp: 1681299037 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: using eatmydata during job I: Copying source file I: copying [iverilog_11.0-1.1.dsc] I: copying [./iverilog_11.0.orig.tar.gz] I: copying [./iverilog_11.0-1.1.debian.tar.xz] I: Extracting source gpgv: Signature made Sun Nov 7 05:21:04 2021 -12 gpgv: using RSA key 3AFA757FAC6EA11D2FF45DF088D24287A2D898B1 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./iverilog_11.0-1.1.dsc: no acceptable signature found dpkg-source: info: extracting iverilog in iverilog-11.0 dpkg-source: info: unpacking iverilog_11.0.orig.tar.gz dpkg-source: info: unpacking iverilog_11.0-1.1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying 0001-typo-fix-correct-misspelled-word-variable.patch dpkg-source: info: applying 0002-typo-fix-correct-misspelled-word-Parameter.patch dpkg-source: info: applying 0001-Fix-configure-scripts-to-work-with-autoconf-2.70.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/2961/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='i386' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=8' DISTRIBUTION='bookworm' HOME='/root' HOST_ARCH='i386' IFS=' ' INVOCATION_ID='f1c19df30646496491ff793256688597' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' LD_LIBRARY_PATH='/usr/lib/libeatmydata' LD_PRELOAD='libeatmydata.so' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='2961' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/pbuilderrc_ojZC --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/b1 --logfile b1/build.log iverilog_11.0-1.1.dsc' SUDO_GID='112' SUDO_UID='107' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://78.137.99.97:3128' I: uname -a Linux ionos2-i386 5.10.0-21-686-pae #1 SMP Debian 5.10.162-1 (2023-01-21) i686 GNU/Linux I: ls -l /bin total 6040 -rwxr-xr-x 1 root root 1408088 Feb 12 08:21 bash -rwxr-xr-x 3 root root 38404 Sep 18 2022 bunzip2 -rwxr-xr-x 3 root root 38404 Sep 18 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 18 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 18 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 18 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 18 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 18 2022 bzgrep -rwxr-xr-x 3 root root 38404 Sep 18 2022 bzip2 -rwxr-xr-x 1 root root 17892 Sep 18 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 18 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 18 2022 bzmore -rwxr-xr-x 1 root root 42920 Sep 20 2022 cat -rwxr-xr-x 1 root root 79816 Sep 20 2022 chgrp -rwxr-xr-x 1 root root 67496 Sep 20 2022 chmod -rwxr-xr-x 1 root root 79816 Sep 20 2022 chown -rwxr-xr-x 1 root root 162024 Sep 20 2022 cp -rwxr-xr-x 1 root root 136916 Jan 5 01:20 dash -rwxr-xr-x 1 root root 137160 Sep 20 2022 date -rwxr-xr-x 1 root root 100364 Sep 20 2022 dd -rwxr-xr-x 1 root root 108940 Sep 20 2022 df -rwxr-xr-x 1 root root 162152 Sep 20 2022 dir -rwxr-xr-x 1 root root 87760 Mar 22 22:20 dmesg lrwxrwxrwx 1 root root 8 Dec 19 01:33 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 19 01:33 domainname -> hostname -rwxr-xr-x 1 root root 38760 Sep 20 2022 echo -rwxr-xr-x 1 root root 41 Jan 24 02:43 egrep -rwxr-xr-x 1 root root 34664 Sep 20 2022 false -rwxr-xr-x 1 root root 41 Jan 24 02:43 fgrep -rwxr-xr-x 1 root root 84272 Mar 22 22:20 findmnt -rwsr-xr-x 1 root root 30240 Mar 22 20:38 fusermount -rwxr-xr-x 1 root root 218680 Jan 24 02:43 grep -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe -rwxr-xr-x 1 root root 100952 Apr 9 2022 gzip -rwxr-xr-x 1 root root 21916 Dec 19 01:33 hostname -rwxr-xr-x 1 root root 75756 Sep 20 2022 ln -rwxr-xr-x 1 root root 55600 Mar 22 23:43 login -rwxr-xr-x 1 root root 162152 Sep 20 2022 ls -rwxr-xr-x 1 root root 214568 Mar 22 22:20 lsblk -rwxr-xr-x 1 root root 96328 Sep 20 2022 mkdir -rwxr-xr-x 1 root root 84008 Sep 20 2022 mknod -rwxr-xr-x 1 root root 38792 Sep 20 2022 mktemp -rwxr-xr-x 1 root root 63016 Mar 22 22:20 more -rwsr-xr-x 1 root root 58912 Mar 22 22:20 mount -rwxr-xr-x 1 root root 13856 Mar 22 22:20 mountpoint -rwxr-xr-x 1 root root 157932 Sep 20 2022 mv lrwxrwxrwx 1 root root 8 Dec 19 01:33 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Dec 18 05:00 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 38792 Sep 20 2022 pwd lrwxrwxrwx 1 root root 4 Feb 12 08:21 rbash -> bash -rwxr-xr-x 1 root root 51080 Sep 20 2022 readlink -rwxr-xr-x 1 root root 75720 Sep 20 2022 rm -rwxr-xr-x 1 root root 51080 Sep 20 2022 rmdir -rwxr-xr-x 1 root root 22308 Nov 2 04:31 run-parts -rwxr-xr-x 1 root root 133224 Jan 5 07:55 sed lrwxrwxrwx 1 root root 4 Jan 5 01:20 sh -> dash -rwxr-xr-x 1 root root 38760 Sep 20 2022 sleep -rwxr-xr-x 1 root root 87976 Sep 20 2022 stty -rwsr-xr-x 1 root root 83492 Mar 22 22:20 su -rwxr-xr-x 1 root root 38792 Sep 20 2022 sync -rwxr-xr-x 1 root root 602584 Feb 16 2021 tar -rwxr-xr-x 1 root root 13860 Nov 2 04:31 tempfile -rwxr-xr-x 1 root root 120776 Sep 20 2022 touch -rwxr-xr-x 1 root root 34664 Sep 20 2022 true -rwxr-xr-x 1 root root 17892 Mar 22 20:38 ulockmgr_server -rwsr-xr-x 1 root root 30236 Mar 22 22:20 umount -rwxr-xr-x 1 root root 38760 Sep 20 2022 uname -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress -rwxr-xr-x 1 root root 162152 Sep 20 2022 vdir -rwxr-xr-x 1 root root 71216 Mar 22 22:20 wdctl lrwxrwxrwx 1 root root 8 Dec 19 01:33 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 9 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 9 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew I: user script /srv/workspace/pbuilder/2961/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: i386 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), gperf, bison, flex, zlib1g-dev, libbz2-dev, libreadline-dev dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19604 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on gperf; however: Package gperf is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on zlib1g-dev; however: Package zlib1g-dev is not installed. pbuilder-satisfydepends-dummy depends on libbz2-dev; however: Package libbz2-dev is not installed. pbuilder-satisfydepends-dummy depends on libreadline-dev; however: Package libreadline-dev is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} flex{a} gettext{a} gettext-base{a} gperf{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libbz2-dev{a} libdebhelper-perl{a} libelf1{a} libfile-stripnondeterminism-perl{a} libicu72{a} libmagic-mgc{a} libmagic1{a} libncurses-dev{a} libncurses6{a} libpipeline1{a} libreadline-dev{a} libreadline8{a} libsub-override-perl{a} libtool{a} libuchardet0{a} libxml2{a} m4{a} man-db{a} po-debconf{a} readline-common{a} sensible-utils{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: bzip2-doc curl libarchive-cpio-perl libfl-dev libgpm2 libltdl-dev libmail-sendmail-perl lynx wget 0 packages upgraded, 40 newly installed, 0 to remove and 0 not upgraded. Need to get 22.6 MB of archives. After unpacking 82.7 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main i386 m4 i386 1.4.19-3 [294 kB] Get: 2 http://deb.debian.org/debian bookworm/main i386 flex i386 2.6.4-8.1 [430 kB] Get: 3 http://deb.debian.org/debian bookworm/main i386 readline-common all 8.2-1.3 [69.0 kB] Get: 4 http://deb.debian.org/debian bookworm/main i386 sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 5 http://deb.debian.org/debian bookworm/main i386 libmagic-mgc i386 1:5.44-3 [305 kB] Get: 6 http://deb.debian.org/debian bookworm/main i386 libmagic1 i386 1:5.44-3 [114 kB] Get: 7 http://deb.debian.org/debian bookworm/main i386 file i386 1:5.44-3 [42.5 kB] Get: 8 http://deb.debian.org/debian bookworm/main i386 gettext-base i386 0.21-12 [162 kB] Get: 9 http://deb.debian.org/debian bookworm/main i386 libuchardet0 i386 0.0.7-1 [67.9 kB] Get: 10 http://deb.debian.org/debian bookworm/main i386 groff-base i386 1.22.4-10 [932 kB] Get: 11 http://deb.debian.org/debian bookworm/main i386 bsdextrautils i386 2.38.1-5+b1 [90.3 kB] Get: 12 http://deb.debian.org/debian bookworm/main i386 libpipeline1 i386 1.5.7-1 [40.0 kB] Get: 13 http://deb.debian.org/debian bookworm/main i386 man-db i386 2.11.2-2 [1397 kB] Get: 14 http://deb.debian.org/debian bookworm/main i386 autoconf all 2.71-3 [332 kB] Get: 15 http://deb.debian.org/debian bookworm/main i386 autotools-dev all 20220109.1 [51.6 kB] Get: 16 http://deb.debian.org/debian bookworm/main i386 automake all 1:1.16.5-1.3 [823 kB] Get: 17 http://deb.debian.org/debian bookworm/main i386 autopoint all 0.21-12 [495 kB] Get: 18 http://deb.debian.org/debian bookworm/main i386 bison i386 2:3.8.2+dfsg-1+b1 [1186 kB] Get: 19 http://deb.debian.org/debian bookworm/main i386 libdebhelper-perl all 13.11.4 [81.2 kB] Get: 20 http://deb.debian.org/debian bookworm/main i386 libtool all 2.4.7-5 [517 kB] Get: 21 http://deb.debian.org/debian bookworm/main i386 dh-autoreconf all 20 [17.1 kB] Get: 22 http://deb.debian.org/debian bookworm/main i386 libarchive-zip-perl all 1.68-1 [104 kB] Get: 23 http://deb.debian.org/debian bookworm/main i386 libsub-override-perl all 0.09-4 [9304 B] Get: 24 http://deb.debian.org/debian bookworm/main i386 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 25 http://deb.debian.org/debian bookworm/main i386 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 26 http://deb.debian.org/debian bookworm/main i386 libelf1 i386 0.188-2.1 [179 kB] Get: 27 http://deb.debian.org/debian bookworm/main i386 dwz i386 0.15-1 [118 kB] Get: 28 http://deb.debian.org/debian bookworm/main i386 libicu72 i386 72.1-3 [9541 kB] Get: 29 http://deb.debian.org/debian bookworm/main i386 libxml2 i386 2.9.14+dfsg-1.1+b3 [720 kB] Get: 30 http://deb.debian.org/debian bookworm/main i386 gettext i386 0.21-12 [1311 kB] Get: 31 http://deb.debian.org/debian bookworm/main i386 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 32 http://deb.debian.org/debian bookworm/main i386 po-debconf all 1.0.21+nmu1 [248 kB] Get: 33 http://deb.debian.org/debian bookworm/main i386 debhelper all 13.11.4 [942 kB] Get: 34 http://deb.debian.org/debian bookworm/main i386 gperf i386 3.1-1 [149 kB] Get: 35 http://deb.debian.org/debian bookworm/main i386 libbz2-dev i386 1.0.8-5+b1 [31.5 kB] Get: 36 http://deb.debian.org/debian bookworm/main i386 libncurses6 i386 6.4-2 [111 kB] Get: 37 http://deb.debian.org/debian bookworm/main i386 libncurses-dev i386 6.4-2 [379 kB] Get: 38 http://deb.debian.org/debian bookworm/main i386 libreadline8 i386 8.2-1.3 [171 kB] Get: 39 http://deb.debian.org/debian bookworm/main i386 libreadline-dev i386 8.2-1.3 [164 kB] Get: 40 http://deb.debian.org/debian bookworm/main i386 zlib1g-dev i386 1:1.2.13.dfsg-1 [913 kB] Fetched 22.6 MB in 0s (46.6 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19604 files and directories currently installed.) Preparing to unpack .../00-m4_1.4.19-3_i386.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package flex. Preparing to unpack .../01-flex_2.6.4-8.1_i386.deb ... Unpacking flex (2.6.4-8.1) ... Selecting previously unselected package readline-common. Preparing to unpack .../02-readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../03-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../04-libmagic-mgc_1%3a5.44-3_i386.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:i386. Preparing to unpack .../05-libmagic1_1%3a5.44-3_i386.deb ... Unpacking libmagic1:i386 (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../06-file_1%3a5.44-3_i386.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../07-gettext-base_0.21-12_i386.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:i386. Preparing to unpack .../08-libuchardet0_0.0.7-1_i386.deb ... Unpacking libuchardet0:i386 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../09-groff-base_1.22.4-10_i386.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../10-bsdextrautils_2.38.1-5+b1_i386.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../11-libpipeline1_1.5.7-1_i386.deb ... Unpacking libpipeline1:i386 (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../12-man-db_2.11.2-2_i386.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package autoconf. Preparing to unpack .../13-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../14-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../15-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../16-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package bison. Preparing to unpack .../17-bison_2%3a3.8.2+dfsg-1+b1_i386.deb ... Unpacking bison (2:3.8.2+dfsg-1+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../18-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../19-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../20-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../21-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../22-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../23-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../24-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:i386. Preparing to unpack .../25-libelf1_0.188-2.1_i386.deb ... Unpacking libelf1:i386 (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../26-dwz_0.15-1_i386.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package libicu72:i386. Preparing to unpack .../27-libicu72_72.1-3_i386.deb ... Unpacking libicu72:i386 (72.1-3) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../28-libxml2_2.9.14+dfsg-1.1+b3_i386.deb ... Unpacking libxml2:i386 (2.9.14+dfsg-1.1+b3) ... Selecting previously unselected package gettext. Preparing to unpack .../29-gettext_0.21-12_i386.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../30-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../31-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../32-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package gperf. Preparing to unpack .../33-gperf_3.1-1_i386.deb ... Unpacking gperf (3.1-1) ... Selecting previously unselected package libbz2-dev:i386. Preparing to unpack .../34-libbz2-dev_1.0.8-5+b1_i386.deb ... Unpacking libbz2-dev:i386 (1.0.8-5+b1) ... Selecting previously unselected package libncurses6:i386. Preparing to unpack .../35-libncurses6_6.4-2_i386.deb ... Unpacking libncurses6:i386 (6.4-2) ... Selecting previously unselected package libncurses-dev:i386. Preparing to unpack .../36-libncurses-dev_6.4-2_i386.deb ... Unpacking libncurses-dev:i386 (6.4-2) ... Selecting previously unselected package libreadline8:i386. Preparing to unpack .../37-libreadline8_8.2-1.3_i386.deb ... Unpacking libreadline8:i386 (8.2-1.3) ... Selecting previously unselected package libreadline-dev:i386. Preparing to unpack .../38-libreadline-dev_8.2-1.3_i386.deb ... Unpacking libreadline-dev:i386 (8.2-1.3) ... Selecting previously unselected package zlib1g-dev:i386. Preparing to unpack .../39-zlib1g-dev_1%3a1.2.13.dfsg-1_i386.deb ... Unpacking zlib1g-dev:i386 (1:1.2.13.dfsg-1) ... Setting up libpipeline1:i386 (1.5.7-1) ... Setting up libicu72:i386 (72.1-3) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.11.4) ... Setting up libmagic1:i386 (1:5.44-3) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up file (1:5.44-3) ... Setting up gperf (3.1-1) ... Setting up autotools-dev (20220109.1) ... Setting up libncurses6:i386 (6.4-2) ... Setting up autopoint (0.21-12) ... Setting up autoconf (2.71-3) ... Setting up zlib1g-dev:i386 (1:1.2.13.dfsg-1) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up libuchardet0:i386 (0.0.7-1) ... Setting up bison (2:3.8.2+dfsg-1+b1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up libsub-override-perl (0.09-4) ... Setting up libelf1:i386 (0.188-2.1) ... Setting up readline-common (8.2-1.3) ... Setting up libxml2:i386 (2.9.14+dfsg-1.1+b3) ... Setting up libbz2-dev:i386 (1.0.8-5+b1) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up flex (2.6.4-8.1) ... Setting up libncurses-dev:i386 (6.4-2) ... Setting up gettext (0.21-12) ... Setting up libtool (2.4.7-5) ... Setting up libreadline8:i386 (8.2-1.3) ... Setting up libreadline-dev:i386 (8.2-1.3) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up dwz (0.15-1) ... Setting up groff-base (1.22.4-10) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up debhelper (13.11.4) ... Processing triggers for libc-bin (2.36-8) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/iverilog-11.0/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../iverilog_11.0-1.1_source.changes dpkg-buildpackage: info: source package iverilog dpkg-buildpackage: info: source version 11.0-1.1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Adrian Bunk dpkg-source --before-build . dpkg-buildpackage: info: host architecture i386 debian/rules clean dh clean dh_clean debian/rules binary dh binary dh_update_autotools_config debian/rules override_dh_autoreconf make[1]: Entering directory '/build/iverilog-11.0' ln -sf /usr/share/misc/config.guess ln -sf /usr/share/misc/config.sub autoconf make[1]: Leaving directory '/build/iverilog-11.0' dh_auto_configure ./configure --build=i686-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-option-checking --disable-silent-rules --libdir=\${prefix}/lib/i386-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking checking build system type... i686-pc-linux-gnu checking host system type... i686-pc-linux-gnu checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether the compiler supports GNU C... yes checking whether gcc accepts -g... yes checking for gcc option to enable C11 features... none needed checking for g++... g++ checking whether the compiler supports GNU C++... yes checking whether g++ accepts -g... yes checking for g++ option to enable C++11 features... none needed checking for ranlib... ranlib checking for ld... ld checking for ar... ar checking for dlltool... no checking for strip... strip checking for windres... no checking for gperf... gperf checking for man... man checking for ps2pdf... no checking for git... no checking for flex... flex checking for bison... bison checking for Microsoft Windows... no checking for gcc options needed to detect all undeclared functions... none needed checking for stdio.h... yes checking for stdlib.h... yes checking for string.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for strings.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for unistd.h... yes checking whether __SUNPRO_C is declared... no checking if gcc supports -Wextra... yes checking for g++ options needed to detect all undeclared functions... none needed checking whether __SUNPRO_CC is declared... no checking for getopt.h... yes checking for inttypes.h... (cached) yes checking for libiberty.h... no checking for iosfwd... yes checking for sys/wait.h... yes checking size of unsigned long long... 8 checking size of unsigned long... 4 checking size of unsigned... 4 checking for tputs in -ltermcap... yes checking for readline in -lreadline... yes checking for add_history in -lreadline... yes checking for readline/readline.h... yes checking for readline/history.h... yes checking for sys/resource.h... yes checking for pthread_create in -lpthread... yes checking for gzwrite in -lz... yes checking for gzwrite in -lz... (cached) yes checking for BZ2_bzdopen in -lbz2... yes checking for BZ2_bzdopen in -lbz2... (cached) yes checking for size_t... yes checking for working alloca.h... yes checking for alloca... yes checking for _LARGEFILE_SOURCE value needed for large files... no configure: Not using valgrind hooks checking for sys/times... yes checking for dlfcn.h... yes checking for dlopen in -ldl... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether byte ordering is bigendian... no checking for extra libs needed... checking for flag to make position independent code... -fPIC checking for realpath... yes checking for fopen64... yes checking for library containing lround... -lm checking for library containing llround... none required checking for library containing nan... none required checking for library containing fmin... none required checking for library containing fmax... none required checking if uint64_t and unsigned long are identical... no checking for -rdynamic compiler flag... -rdynamic checking for shared library link flag... -shared checking for leading underscores... no checking for trailing underscores... no checking for sanity of prefix... ok checking for sanity of exec_prefix... ok checking for sanity of libdir... ok checking how to run the C preprocessor... gcc -E checking for i686-pc-linux-gnu-gcc... no checking for gcc... gcc checking whether the compiler supports GNU C... (cached) yes checking whether gcc accepts -g... yes checking for gcc option to enable C11 features... (cached) none needed checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking how to run the C preprocessor... gcc -E configure: creating ./config.status config.status: creating Makefile config.status: creating ivlpp/Makefile config.status: creating vhdlpp/Makefile config.status: creating vvp/Makefile config.status: creating vpi/Makefile config.status: creating driver/Makefile config.status: creating driver-vpi/Makefile config.status: creating cadpli/Makefile config.status: creating libveriuser/Makefile config.status: creating tgt-null/Makefile config.status: creating tgt-stub/Makefile config.status: creating tgt-vvp/Makefile config.status: creating tgt-vhdl/Makefile config.status: creating tgt-fpga/Makefile config.status: creating tgt-verilog/Makefile config.status: creating tgt-pal/Makefile config.status: creating tgt-vlog95/Makefile config.status: creating tgt-pcb/Makefile config.status: creating tgt-blif/Makefile config.status: creating tgt-sizer/Makefile config.status: creating config.h config.status: creating _pli_types.h config.status: creating vhdlpp/vhdlpp_config.h config.status: creating vvp/config.h config.status: creating vpi/vpi_config.h config.status: creating libveriuser/config.h config.status: creating tgt-vvp/vvp_config.h config.status: creating tgt-vhdl/vhdl_config.h config.status: creating tgt-pcb/pcb_config.h dh_auto_build make -j8 make[1]: Entering directory '/build/iverilog-11.0' mkdir dep g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c async.cc -o async.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c design_dump.cc -o design_dump.o Using empty VERSION_TAG g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c discipline.cc -o discipline.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c dup_expr.cc -o dup_expr.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elaborate.cc -o elaborate.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_expr.cc -o elab_expr.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elaborate_analog.cc -o elaborate_analog.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_lval.cc -o elab_lval.o mv discipline.d dep/discipline.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_net.cc -o elab_net.o mv async.d dep/async.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_scope.cc -o elab_scope.o mv dup_expr.d dep/dup_expr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_sig.cc -o elab_sig.o mv elaborate_analog.d dep/elaborate_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_sig_analog.cc -o elab_sig_analog.o mv elab_sig_analog.d dep/elab_sig_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c elab_type.cc -o elab_type.o mv elab_net.d dep/elab_net.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c emit.cc -o emit.o mv elab_lval.d dep/elab_lval.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval.cc -o eval.o mv design_dump.d dep/design_dump.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_attrib.cc -o eval_attrib.o mv elab_type.d dep/elab_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_tree.cc -o eval_tree.o mv emit.d dep/emit.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expr_synth.cc -o expr_synth.o mv eval.d dep/eval.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c functor.cc -o functor.o mv elab_scope.d dep/elab_scope.d flex -s -t lexor.lex > lexor.cc mv elab_sig.d dep/elab_sig.d bison --verbose -t -p VL --defines=parse.h -o parse.cc parse.y gperf -o -i 7 -C -k 1-4,6,9,$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || (rm -f lexor_keyword.cc ; false) g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c link_const.cc -o link_const.o mv eval_attrib.d dep/eval_attrib.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c load_module.cc -o load_module.o mv functor.d dep/functor.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netlist.cc -o netlist.o mv link_const.d dep/link_const.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netmisc.cc -o netmisc.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nettypes.cc -o nettypes.o mv load_module.d dep/load_module.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_analog.cc -o net_analog.o mv nettypes.d dep/nettypes.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_assign.cc -o net_assign.o mv expr_synth.d dep/expr_synth.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_design.cc -o net_design.o mv net_analog.d dep/net_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netclass.cc -o netclass.o mv eval_tree.d dep/eval_tree.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netdarray.cc -o netdarray.o mv netdarray.d dep/netdarray.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netenum.cc -o netenum.o mv net_assign.d dep/net_assign.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netparray.cc -o netparray.o mv netparray.d dep/netparray.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netqueue.cc -o netqueue.o mv netmisc.d dep/netmisc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netscalar.cc -o netscalar.o In file included from net_design.cc:38: net_design.cc: In member function 'void NetScope::evaluate_parameter_logic_(Design*, param_ref_t)': ivl_assert.h:27:45: warning: 'this' pointer is null [-Wnonnull] 27 | cerr << (tok).get_fileline() << ": assert: " \ | ~~~~~~~~~~~~~~~~~~^~ net_design.cc:614:7: note: in expansion of macro 'ivl_assert' 614 | ivl_assert(*(*cur).second.val, (*cur).second.val); | ^~~~~~~~~~ In file included from PNamedItem.h:22, from pform_types.h:24, from netlist.h:38, from net_design.cc:31: libmisc/LineInfo.h:43:14: note: in a call to non-static member function 'std::string LineInfo::get_fileline() const' 43 | string get_fileline() const; | ^~~~~~~~~~~~ mv netqueue.d dep/netqueue.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netstruct.cc -o netstruct.o mv netclass.d dep/netclass.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c netvector.cc -o netvector.o mv netscalar.d dep/netscalar.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_event.cc -o net_event.o mv netenum.d dep/netenum.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_expr.cc -o net_expr.o mv netvector.d dep/netvector.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_func.cc -o net_func.o mv net_design.d dep/net_design.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_func_eval.cc -o net_func_eval.o mv netstruct.d dep/netstruct.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_link.cc -o net_link.o mv netlist.d dep/netlist.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_modulo.cc -o net_modulo.o mv elab_expr.d dep/elab_expr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_nex_input.cc -o net_nex_input.o mv net_expr.d dep/net_expr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_nex_output.cc -o net_nex_output.o mv net_func.d dep/net_func.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_proc.cc -o net_proc.o mv net_event.d dep/net_event.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_scope.cc -o net_scope.o mv elaborate.d dep/elaborate.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_tran.cc -o net_tran.o mv net_modulo.d dep/net_modulo.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c net_udp.cc -o net_udp.o mv net_nex_output.d dep/net_nex_output.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pad_to_width.cc -o pad_to_width.o mv net_link.d dep/net_link.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse.cc -o parse.o mv net_nex_input.d dep/net_nex_input.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse_misc.cc -o parse_misc.o mv net_proc.d dep/net_proc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform.cc -o pform.o mv net_func_eval.d dep/net_func_eval.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_analog.cc -o pform_analog.o mv net_udp.d dep/net_udp.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_disciplines.cc -o pform_disciplines.o mv net_tran.d dep/net_tran.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_dump.cc -o pform_dump.o mv pad_to_width.d dep/pad_to_width.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_package.cc -o pform_package.o parse.cc:8541:18: warning: missing initializer for member 'vlltype::text' [-Wmissing-field-initializers] 8541 | = { 1, 1, 1, 1 } | ^ pform.cc: In function 'void pform_genvars(const vlltype&, std::__cxx11::list*)': pform.cc:1472:60: warning: ignoring return value of 'const _Tp& std::_List_const_iterator< >::operator*() const [with _Tp = perm_string; reference = const perm_string&]', declared with attribute 'nodiscard' [-Wunused-result] 1472 | for (cur = names->begin(); cur != names->end() ; *cur++) { | ^~ In file included from /usr/include/c++/12/list:63, from compiler.h:22, from pform.cc:23: /usr/include/c++/12/bits/stl_list.h:368:7: note: declared here 368 | operator*() const _GLIBCXX_NOEXCEPT | ^~~~~~~~ mv parse_misc.d dep/parse_misc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_pclass.cc -o pform_pclass.o mv pform_analog.d dep/pform_analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_class_type.cc -o pform_class_type.o mv net_scope.d dep/net_scope.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_string_type.cc -o pform_string_type.o mv pform_disciplines.d dep/pform_disciplines.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_struct_type.cc -o pform_struct_type.o mv pform_package.d dep/pform_package.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pform_types.cc -o pform_types.o mv pform_pclass.d dep/pform_pclass.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c symbol_search.cc -o symbol_search.o mv pform_class_type.d dep/pform_class_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sync.cc -o sync.o mv pform_types.d dep/pform_types.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_funcs.cc -o sys_funcs.o mv pform_string_type.d dep/pform_string_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c verinum.cc -o verinum.o mv pform_struct_type.d dep/pform_struct_type.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c verireal.cc -o verireal.o mv sync.d dep/sync.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_modules.cc -o vpi_modules.o mv symbol_search.d dep/symbol_search.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c target.cc -o target.o mv sys_funcs.d dep/sys_funcs.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c Attrib.cc -o Attrib.o mv pform_dump.d dep/pform_dump.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c HName.cc -o HName.o mv Attrib.d dep/Attrib.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c Module.cc -o Module.o mv verireal.d dep/verireal.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PClass.cc -o PClass.o mv HName.d dep/HName.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PDelays.cc -o PDelays.o mv vpi_modules.d dep/vpi_modules.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PEvent.cc -o PEvent.o mv verinum.d dep/verinum.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PExpr.cc -o PExpr.o mv PEvent.d dep/PEvent.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PFunction.cc -o PFunction.o mv PClass.d dep/PClass.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PGate.cc -o PGate.o mv target.d dep/target.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PGenerate.cc -o PGenerate.o mv Module.d dep/Module.d mv PDelays.d dep/PDelays.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PModport.cc -o PModport.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PNamedItem.cc -o PNamedItem.o mv PNamedItem.d dep/PNamedItem.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PPackage.cc -o PPackage.o mv PFunction.d dep/PFunction.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PScope.cc -o PScope.o mv pform.d dep/pform.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PSpec.cc -o PSpec.o mv PPackage.d dep/PPackage.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PTask.cc -o PTask.o mv PSpec.d dep/PSpec.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PUdp.cc -o PUdp.o mv PGate.d dep/PGate.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c PWire.cc -o PWire.o mv PModport.d dep/PModport.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c Statement.cc -o Statement.o mv PExpr.d dep/PExpr.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c AStatement.cc -o AStatement.o mv PUdp.d dep/PUdp.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ./libmisc/LineInfo.cc -o LineInfo.o mv PScope.d dep/PScope.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ./libmisc/StringHeap.cc -o StringHeap.o mv PGenerate.d dep/PGenerate.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cprop.cc -o cprop.o mv LineInfo.d dep/LineInfo.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c exposenodes.cc -o exposenodes.o mv PTask.d dep/PTask.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nodangle.cc -o nodangle.o mv StringHeap.d dep/StringHeap.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c synth.cc -o synth.o mv AStatement.d dep/AStatement.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c synth2.cc -o synth2.o mv PWire.d dep/PWire.d bison --verbose -t -p syn_ -o syn-rules.cc syn-rules.y g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll.cc -o t-dll.o mv cprop.d dep/cprop.d mv exposenodes.d dep/exposenodes.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-api.cc -o t-dll-api.o g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-expr.cc -o t-dll-expr.o mv synth.d dep/synth.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-proc.cc -o t-dll-proc.o mv nodangle.d dep/nodangle.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c t-dll-analog.cc -o t-dll-analog.o mv parse.d dep/parse.d gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -o version.exe -I. -I. ./version.c sed -e 's;@SHARED@;-shared;' -e 's;@PIC@;-fPIC;' \ -e 's;@SUFFIX@;;' \ -e 's;@IVCC@;cc;' \ -e 's;@IVCXX@;g++;' \ -e 's;@IVCFLAGS@;-Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security;' \ -e 's;@IVCXXFLAGS@;-Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security;' \ -e 's;@IVCTARGETFLAGS@;;' \ -e 's;@INCLUDEDIR@;/usr/include/iverilog;' \ -e 's;@LIBDIR@;/usr/lib/i386-linux-gnu;' iverilog-vpi.sh > iverilog-vpi chmod +x iverilog-vpi g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c main.cc -o main.o mv Statement.d dep/Statement.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor.cc -o lexor.o mv t-dll-proc.d dep/t-dll-proc.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor_keyword.cc -o lexor_keyword.o mv t-dll-analog.d dep/t-dll-analog.d g++ -DHAVE_CONFIG_H -I. -Ilibmisc -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c syn-rules.cc -o syn-rules.o mv t-dll-expr.d dep/t-dll-expr.d ./version.exe `head -1 ./iverilog-vpi.man.in`'\n' > iverilog-vpi.man tail -n +2 ./iverilog-vpi.man.in >> iverilog-vpi.man mv t-dll-api.d dep/t-dll-api.d mv syn-rules.d dep/syn-rules.d mv lexor_keyword.d dep/lexor_keyword.d mv t-dll.d dep/t-dll.d mv main.d dep/main.d mv lexor.d dep/lexor.d mv synth2.d dep/synth2.d g++ -rdynamic -Wl,-z,relro -Wl,-z,now -o ivl main.o async.o design_dump.o discipline.o dup_expr.o elaborate.o elab_expr.o elaborate_analog.o elab_lval.o elab_net.o elab_scope.o elab_sig.o elab_sig_analog.o elab_type.o emit.o eval.o eval_attrib.o eval_tree.o expr_synth.o functor.o lexor.o lexor_keyword.o link_const.o load_module.o netlist.o netmisc.o nettypes.o net_analog.o net_assign.o net_design.o netclass.o netdarray.o netenum.o netparray.o netqueue.o netscalar.o netstruct.o netvector.o net_event.o net_expr.o net_func.o net_func_eval.o net_link.o net_modulo.o net_nex_input.o net_nex_output.o net_proc.o net_scope.o net_tran.o net_udp.o pad_to_width.o parse.o parse_misc.o pform.o pform_analog.o pform_disciplines.o pform_dump.o pform_package.o pform_pclass.o pform_class_type.o pform_string_type.o pform_struct_type.o pform_types.o symbol_search.o sync.o sys_funcs.o verinum.o verireal.o vpi_modules.o target.o Attrib.o HName.o Module.o PClass.o PDelays.o PEvent.o PExpr.o PFunction.o PGate.o PGenerate.o PModport.o PNamedItem.o PPackage.o PScope.o PSpec.o PTask.o PUdp.o PWire.o Statement.o AStatement.o LineInfo.o StringHeap.o cprop.o exposenodes.o nodangle.o synth.o synth2.o syn-rules.o t-dll.o t-dll-api.o t-dll-expr.o t-dll-proc.o t-dll-analog.o -ldl make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[2]: Entering directory '/build/iverilog-11.0/ivlpp' gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -c -o main.o main.c flex -t lexor.lex > lexor.c gcc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -c -o lexor.o lexor.c In function 'do_define', inlined from 'yylex' at lexor.lex:362:3: lexor.lex:1157:42: warning: pointer 'base' may be used after 'realloc' [-Wuse-after-free] 1157 | cp = &define_text[cp - base]; | ~~~~~^~~~~~ In file included from lexor.lex:31: ../ivl_alloc.h:57:25: note: call to 'realloc' here 57 | void *__ivl_rtn = realloc(__ivl_lptr, __ivl_lsize); \ | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ lexor.lex:1153:31: note: in expansion of macro 'realloc' 1153 | define_text = realloc(define_text, define_cnt + 1); | ^~~~~~~ lexor.lex:1156:42: warning: pointer 'base' may be used after 'realloc' [-Wuse-after-free] 1156 | tail = &define_text[tail - base]; | ~~~~~^~~~~~ ../ivl_alloc.h:57:25: note: call to 'realloc' here 57 | void *__ivl_rtn = realloc(__ivl_lptr, __ivl_lsize); \ | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ lexor.lex:1153:31: note: in expansion of macro 'realloc' 1153 | define_text = realloc(define_text, define_cnt + 1); | ^~~~~~~ lexor.lex:1155:42: warning: pointer 'base' may be used after 'realloc' [-Wuse-after-free] 1155 | head = &define_text[head - base]; | ~~~~~^~~~~~ ../ivl_alloc.h:57:25: note: call to 'realloc' here 57 | void *__ivl_rtn = realloc(__ivl_lptr, __ivl_lsize); \ | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ lexor.lex:1153:31: note: in expansion of macro 'realloc' 1153 | define_text = realloc(define_text, define_cnt + 1); | ^~~~~~~ gcc -Wl,-z,relro -Wl,-z,now main.o lexor.o -o ivlpp make[2]: Leaving directory '/build/iverilog-11.0/ivlpp' make[2]: Entering directory '/build/iverilog-11.0/vhdlpp' mkdir dep g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c main.cc -o main.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec.cc -o architec.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c compiler.cc -o compiler.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity.cc -o entity.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c std_funcs.cc -o std_funcs.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c std_types.cc -o std_types.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression.cc -o expression.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c package.cc -o package.o mv compiler.d dep/compiler.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.cc -o scope.o mv package.d dep/package.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential.cc -o sequential.o mv main.d dep/main.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c subprogram.cc -o subprogram.o mv entity.d dep/entity.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vsignal.cc -o vsignal.o mv std_types.d dep/std_types.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype.cc -o vtype.o mv std_funcs.d dep/std_funcs.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_match.cc -o vtype_match.o mv architec.d dep/architec.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec_elaborate.cc -o architec_elaborate.o mv vtype_match.d dep/vtype_match.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity_elaborate.cc -o entity_elaborate.o mv expression.d dep/expression.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_elaborate.cc -o expression_elaborate.o mv sequential.d dep/sequential.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_evaluate.cc -o expression_evaluate.o mv vsignal.d dep/vsignal.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential_elaborate.cc -o sequential_elaborate.o expression_elaborate.cc: In member function 'int ExpAggregate::elaborate_expr_record_(Entity*, ScopeBase*, const VTypeRecord*)': expression_elaborate.cc:546:31: warning: implicitly-declared 'constexpr ExpAggregate::choice_element& ExpAggregate::choice_element::operator=(const ExpAggregate::choice_element&)' is deprecated [-Wdeprecated-copy] 546 | aggregate_[idx] = tmp; | ^~~ In file included from expression_elaborate.cc:24: expression.h:289:13: note: because 'ExpAggregate::choice_element' has user-provided 'ExpAggregate::choice_element::choice_element(const ExpAggregate::choice_element&)' 289 | choice_element(const choice_element&other) { | ^~~~~~~~~~~~~~ mv subprogram.d dep/subprogram.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_elaborate.cc -o vtype_elaborate.o mv vtype.d dep/vtype.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity_stream.cc -o entity_stream.o mv architec_elaborate.d dep/architec_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_stream.cc -o expression_stream.o mv entity_elaborate.d dep/entity_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_stream.cc -o vtype_stream.o mv scope.d dep/scope.d flex -s -olexor.cc ./lexor.lex bison --verbose -t --defines=parse.h -o parse.cc parse.y mv expression_evaluate.d dep/expression_evaluate.d gperf -o -i 7 --ignore-case -C -k 1-4,6,9,$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || (rm -f lexor_keyword.cc ; false) g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse_misc.cc -o parse_misc.o mv vtype_elaborate.d dep/vtype_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c library.cc -o library.o mv sequential_elaborate.d dep/sequential_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdlreal.cc -o vhdlreal.o mv entity_stream.d dep/entity_stream.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdlint.cc -o vhdlint.o g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec_emit.cc -o architec_emit.o mv expression_stream.d dep/expression_stream.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c entity_emit.cc -o entity_emit.o mv vhdlint.d dep/vhdlint.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_emit.cc -o expression_emit.o mv vhdlreal.d dep/vhdlreal.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c package_emit.cc -o package_emit.o mv vtype_stream.d dep/vtype_stream.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential_emit.cc -o sequential_emit.o mv expression_elaborate.d dep/expression_elaborate.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c subprogram_emit.cc -o subprogram_emit.o mv parse_misc.d dep/parse_misc.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vtype_emit.cc -o vtype_emit.o mv entity_emit.d dep/entity_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c debug.cc -o debug.o mv subprogram_emit.d dep/subprogram_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c architec_debug.cc -o architec_debug.o mv package_emit.d dep/package_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression_debug.cc -o expression_debug.o mv architec_emit.d dep/architec_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sequential_debug.cc -o sequential_debug.o mv sequential_emit.d dep/sequential_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ../libmisc/StringHeap.cc -o StringHeap.o mv vtype_emit.d dep/vtype_emit.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ../libmisc/LineInfo.cc -o LineInfo.o mv library.d dep/library.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor.cc -o lexor.o mv LineInfo.d dep/LineInfo.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor_keyword.cc -o lexor_keyword.o mv StringHeap.d dep/StringHeap.d g++ -I. -I.. -I../libmisc -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse.cc -o parse.o mv expression_debug.d dep/expression_debug.d mv expression_emit.d dep/expression_emit.d mv architec_debug.d dep/architec_debug.d mv sequential_debug.d dep/sequential_debug.d mv debug.d dep/debug.d mv lexor_keyword.d dep/lexor_keyword.d mv lexor.d dep/lexor.d mv parse.d dep/parse.d g++ -o vhdlpp -Wl,-z,relro -Wl,-z,now main.o architec.o compiler.o entity.o std_funcs.o std_types.o expression.o package.o scope.o sequential.o subprogram.o vsignal.o vtype.o vtype_match.o architec_elaborate.o entity_elaborate.o expression_elaborate.o expression_evaluate.o sequential_elaborate.o vtype_elaborate.o entity_stream.o expression_stream.o vtype_stream.o lexor.o lexor_keyword.o parse.o parse_misc.o library.o vhdlreal.o vhdlint.o architec_emit.o entity_emit.o expression_emit.o package_emit.o sequential_emit.o subprogram_emit.o vtype_emit.o debug.o architec_debug.o expression_debug.o sequential_debug.o StringHeap.o LineInfo.o -lm -lbz2 -lz -lpthread -lreadline -ltermcap make[2]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[2]: Entering directory '/build/iverilog-11.0/vvp' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c main.cc -o main.o bison --verbose -t --defines=parse.h -o parse.cc parse.y g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse_misc.cc -o parse_misc.o flex -s -olexor.cc ./lexor.lex g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c arith.cc -o arith.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c array_common.cc -o array_common.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c array.cc -o array.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c bufif.cc -o bufif.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c compile.cc -o compile.o parse.cc:3209: warning: suspicious sequence in the output: m4_type [-Wother] parse.cc:3215: warning: suspicious sequence in the output: m4_type [-Wother] g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c concat.cc -o concat.o array.cc: In function 'void compile_array_alias(char*, char*, char*)': array.cc:1536:30: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1536 | obj->first_addr = mem->first_addr; | ^~~~~~~~~~ In file included from array_common.h:25, from array.cc:20: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1537:30: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1537 | obj->last_addr = mem->last_addr; | ^~~~~~~~~ vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1540:23: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1540 | obj->msb = mem->msb; | ^~~ vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ array.cc:1541:23: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1541 | obj->lsb = mem->lsb; | ^~~ vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ mv array_common.d dep/array_common.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c dff.cc -o dff.o mv bufif.d dep/bufif.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c class_type.cc -o class_type.o mv parse_misc.d dep/parse_misc.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c enum_type.cc -o enum_type.o mv main.d dep/main.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c extend.cc -o extend.o mv concat.d dep/concat.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c file_line.cc -o file_line.o mv dff.d dep/dff.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c latch.cc -o latch.o mv compile.d dep/compile.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c npmos.cc -o npmos.o mv extend.d dep/extend.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c part.cc -o part.o mv enum_type.d dep/enum_type.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c permaheap.cc -o permaheap.o mv permaheap.d dep/permaheap.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c reduce.cc -o reduce.o mv file_line.d dep/file_line.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c resolv.cc -o resolv.o mv class_type.d dep/class_type.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sfunc.cc -o sfunc.o mv arith.d dep/arith.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stop.cc -o stop.o mv array.d dep/array.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c substitute.cc -o substitute.o mv npmos.d dep/npmos.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c symbols.cc -o symbols.o mv latch.d dep/latch.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c ufunc.cc -o ufunc.o mv reduce.d dep/reduce.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c codes.cc -o codes.o mv sfunc.d dep/sfunc.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vthread.cc -o vthread.o mv stop.d dep/stop.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c schedule.cc -o schedule.o mv symbols.d dep/symbols.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c statistics.cc -o statistics.o mv statistics.d dep/statistics.d cc -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -o draw_tt ./draw_tt.c mv resolv.d dep/resolv.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c udp.cc -o udp.o mv part.d dep/part.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_island.cc -o vvp_island.o ./draw_tt > tables.cc rm draw_tt g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_net.cc -o vvp_net.o mv substitute.d dep/substitute.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_net_sig.cc -o vvp_net_sig.o mv codes.d dep/codes.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_object.cc -o vvp_object.o mv ufunc.d dep/ufunc.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_cobject.cc -o vvp_cobject.o mv vvp_object.d dep/vvp_object.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_darray.cc -o vvp_darray.o mv vvp_island.d dep/vvp_island.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c event.cc -o event.o mv vvp_cobject.d dep/vvp_cobject.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic.cc -o logic.o mv udp.d dep/udp.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c delay.cc -o delay.o mv schedule.d dep/schedule.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c words.cc -o words.o mv vvp_net_sig.d dep/vvp_net_sig.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c island_tran.cc -o island_tran.o mv event.d dep/event.d mv words.d dep/words.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_modules.cc -o vpi_modules.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_bit.cc -o vpi_bit.o mv delay.d dep/delay.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_callback.cc -o vpi_callback.o mv logic.d dep/logic.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_cobject.cc -o vpi_cobject.o mv vvp_net.d dep/vvp_net.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_const.cc -o vpi_const.o mv vpi_modules.d dep/vpi_modules.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_darray.cc -o vpi_darray.o mv vpi_bit.d dep/vpi_bit.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_event.cc -o vpi_event.o mv vpi_callback.d dep/vpi_callback.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_iter.cc -o vpi_iter.o mv island_tran.d dep/island_tran.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_mcd.cc -o vpi_mcd.o mv vpi_cobject.d dep/vpi_cobject.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_priv.cc -o vpi_priv.o mv vpi_event.d dep/vpi_event.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_scope.cc -o vpi_scope.o mv vpi_iter.d dep/vpi_iter.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_real.cc -o vpi_real.o mv vpi_const.d dep/vpi_const.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_signal.cc -o vpi_signal.o mv vpi_mcd.d dep/vpi_mcd.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_string.cc -o vpi_string.o mv vpi_darray.d dep/vpi_darray.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_tasks.cc -o vpi_tasks.o mv vpi_real.d dep/vpi_real.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_time.cc -o vpi_time.o vpi_signal.cc: In function '__vpiHandle* fill_in_net4(__vpiSignal*, __vpiScope*, const char*, int, int, bool, vvp_net_t*)': vpi_signal.cc:1270:35: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1270 | obj->msb = __vpiDecConst(msb); | ^ In file included from parse_misc.h:22, from compile.h:26, from vpi_signal.cc:25: vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ vpi_signal.cc:1271:35: warning: implicitly-declared 'constexpr __vpiDecConst& __vpiDecConst::operator=(const __vpiDecConst&)' is deprecated [-Wdeprecated-copy] 1271 | obj->lsb = __vpiDecConst(lsb); | ^ vpi_priv.h:162:7: note: because '__vpiDecConst' has user-provided '__vpiDecConst::__vpiDecConst(const __vpiDecConst&)' 162 | __vpiDecConst(const __vpiDecConst&that); | ^~~~~~~~~~~~~ mv vpi_priv.d dep/vpi_priv.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_vthr_vector.cc -o vpi_vthr_vector.o mv vpi_string.d dep/vpi_string.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_bin.cc -o vpip_bin.o mv vpi_scope.d dep/vpi_scope.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_hex.cc -o vpip_hex.o mv vvp_darray.d dep/vvp_darray.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_oct.cc -o vpip_oct.o mv vpi_time.d dep/vpi_time.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_to_dec.cc -o vpip_to_dec.o mv vpip_bin.d dep/vpip_bin.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpip_format.cc -o vpip_format.o mv vpip_format.d dep/vpip_format.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_vpi.cc -o vvp_vpi.o mv vpi_tasks.d dep/vpi_tasks.d ../version.exe `head -1 ./vvp.man.in`'\n' > vvp.man tail -n +2 ./vvp.man.in >> vvp.man g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c parse.cc -o parse.o mv vvp_vpi.d dep/vvp_vpi.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lexor.cc -o lexor.o mv vpip_hex.d dep/vpip_hex.d g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -DIVL_SUFFIX='""' -DMODULE_DIR1='"/usr/lib/i386-linux-gnu/ivl"' -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c tables.cc -o tables.o mv tables.d dep/tables.d mv vpi_vthr_vector.d dep/vpi_vthr_vector.d mv vpi_signal.d dep/vpi_signal.d mv vpip_oct.d dep/vpip_oct.d mv vthread.d dep/vthread.d mv vpip_to_dec.d dep/vpip_to_dec.d mv lexor.d dep/lexor.d mv parse.d dep/parse.d g++ -rdynamic -Wl,-z,relro -Wl,-z,now -o vvp main.o parse.o parse_misc.o lexor.o arith.o array_common.o array.o bufif.o compile.o concat.o dff.o class_type.o enum_type.o extend.o file_line.o latch.o npmos.o part.o permaheap.o reduce.o resolv.o sfunc.o stop.o substitute.o symbols.o ufunc.o codes.o vthread.o schedule.o statistics.o tables.o udp.o vvp_island.o vvp_net.o vvp_net_sig.o vvp_object.o vvp_cobject.o vvp_darray.o event.o logic.o delay.o words.o island_tran.o vpi_modules.o vpi_bit.o vpi_callback.o vpi_cobject.o vpi_const.o vpi_darray.o vpi_event.o vpi_iter.o vpi_mcd.o vpi_priv.o vpi_scope.o vpi_real.o vpi_signal.o vpi_string.o vpi_tasks.o vpi_time.o vpi_vthr_vector.o vpip_bin.o vpip_hex.o vpip_oct.o vpip_to_dec.o vpip_format.o vvp_vpi.o -lm -lbz2 -lz -lpthread -lreadline -ltermcap -ldl make[2]: Leaving directory '/build/iverilog-11.0/vvp' make[2]: Entering directory '/build/iverilog-11.0/vpi' mkdir dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -c libvpi.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_table.c -o sys_table.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_convert.c -o sys_convert.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_countdrivers.c -o sys_countdrivers.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_darray.c -o sys_darray.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_deposit.c -o sys_deposit.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_display.c -o sys_display.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_fileio.c -o sys_fileio.o rm -f libvpi.a ar cqv libvpi.a libvpi.o a - libvpi.o ranlib libvpi.a gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_finish.c -o sys_finish.o mv sys_deposit.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_icarus.c -o sys_icarus.o mv sys_finish.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_plusargs.c -o sys_plusargs.o mv sys_darray.d dep mv sys_convert.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_queue.c -o sys_queue.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_random.c -o sys_random.o mv sys_table.d dep mv sys_countdrivers.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_random_mti.c -o sys_random_mti.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_readmem.c -o sys_readmem.o mv sys_icarus.d dep flex -t sys_readmem_lex.lex > sys_readmem_lex.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_scanf.c -o sys_scanf.o mv sys_random_mti.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_sdf.c -o sys_sdf.o mv sys_plusargs.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_time.c -o sys_time.o mv sys_sdf.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_vcd.c -o sys_vcd.o mv sys_fileio.d dep mv sys_time.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_vcdoff.c -o sys_vcdoff.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vcd_priv.c -o vcd_priv.o mv sys_readmem.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c mt19937int.c -o mt19937int.o mv sys_vcdoff.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_priv.c -o sys_priv.o mv mt19937int.d dep bison --verbose -t -p sdf -d -o sdf_parse.c sdf_parse.y mv sys_random.d dep flex -t sdf_lexor.lex > sdf_lexor.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stringheap.c -o stringheap.o mv vcd_priv.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vams_simparam.c -o vams_simparam.o mv stringheap.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c table_mod.c -o table_mod.o mv sys_queue.d dep bison --verbose -t -p tblmod -d -o table_mod_parse.c table_mod_parse.y mv vams_simparam.d dep flex -t table_mod_lexor.lex > table_mod_lexor.c gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_lxt.c -o sys_lxt.o mv sys_priv.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lxt_write.c -o lxt_write.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_lxt2.c -o sys_lxt2.o gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lxt2_write.c -o lxt2_write.o mv sys_vcd.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_fst.c -o sys_fst.o mv sys_scanf.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fstapi.c -o fstapi.o mv table_mod.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fastlz.c -o fastlz.o mv sys_lxt.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lz4.c -o lz4.o mv sys_lxt2.d dep g++ -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vcd_priv2.cc -o vcd_priv2.o mv sys_fst.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c va_math.c -o va_math.o mv fastlz.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_clog2.c -o sys_clog2.o mv sys_display.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2005_math.c -o v2005_math.o mv sys_clog2.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_table.c -o v2009_table.o mv va_math.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_array.c -o v2009_array.o mv v2009_table.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_bitvec.c -o v2009_bitvec.o mv v2005_math.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_enum.c -o v2009_enum.o mv v2009_array.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c v2009_string.c -o v2009_string.o mv v2009_bitvec.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_table.c -o vhdl_table.o mv v2009_string.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_textio.c -o vhdl_textio.o mv vhdl_table.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vpi_debug.c -o vpi_debug.o mv v2009_enum.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sys_readmem_lex.c -o sys_readmem_lex.o mv vpi_debug.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sdf_parse.c -o sdf_parse.o mv lxt2_write.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sdf_lexor.c -o sdf_lexor.o mv lxt_write.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c table_mod_parse.c -o table_mod_parse.o mv vhdl_textio.d dep gcc -I. -I.. -D_LARGEFILE_SOURCE=1 -D_FILE_OFFSET_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c table_mod_lexor.c -o table_mod_lexor.o mv table_mod_parse.d dep mv sdf_parse.d dep mv vcd_priv2.d dep mv sys_readmem_lex.d dep gcc -shared -o va_math.vpi va_math.o -L. -Wl,-z,relro -Wl,-z,now -lvpi gcc -shared -o v2005_math.vpi sys_clog2.o v2005_math.o -L. -Wl,-z,relro -Wl,-z,now -lvpi gcc -shared -o v2009.vpi v2009_table.o v2009_array.o v2009_bitvec.o v2009_enum.o v2009_string.o sys_priv.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap gcc -shared -o vhdl_sys.vpi vhdl_table.o sys_priv.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap gcc -shared -o vhdl_textio.vpi vhdl_textio.o sys_priv.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap gcc -shared -o vpi_debug.vpi vpi_debug.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap mv sdf_lexor.d dep mv table_mod_lexor.d dep mv lz4.d dep mv fstapi.d dep g++ -shared -o system.vpi sys_table.o sys_convert.o sys_countdrivers.o sys_darray.o sys_deposit.o sys_display.o sys_fileio.o sys_finish.o sys_icarus.o sys_plusargs.o sys_queue.o sys_random.o sys_random_mti.o sys_readmem.o sys_readmem_lex.o sys_scanf.o sys_sdf.o sys_time.o sys_vcd.o sys_vcdoff.o vcd_priv.o mt19937int.o sys_priv.o sdf_parse.o sdf_lexor.o stringheap.o vams_simparam.o table_mod.o table_mod_parse.o table_mod_lexor.o sys_lxt.o lxt_write.o sys_lxt2.o lxt2_write.o sys_fst.o fstapi.o fastlz.o lz4.o vcd_priv2.o -L. -Wl,-z,relro -Wl,-z,now -lvpi -lm -lbz2 -lz -lpthread -lreadline -ltermcap make[2]: Leaving directory '/build/iverilog-11.0/vpi' make[2]: Entering directory '/build/iverilog-11.0/libveriuser' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c asynch.c -o asynch.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c delay.c -o delay.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c exprinfo.c -o exprinfo.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c finish.c -o finish.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getcstringp.c -o getcstringp.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getinstance.c -o getinstance.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getlongp.c -o getlongp.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getp.c -o getp.o mv asynch.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c getsimtime.c -o getsimtime.o mv getcstringp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c io_print.c -o io_print.o mv finish.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c math.c -o math.o mv getinstance.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c mc_scan_plusargs.c -o mc_scan_plusargs.o mv exprinfo.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nodeinfo.c -o nodeinfo.o mv delay.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nump.c -o nump.o mv getlongp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c putlongp.c -o putlongp.o mv io_print.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c putp.c -o putp.o mv getp.d dep mv mc_scan_plusargs.d dep mv nodeinfo.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c spname.c -o spname.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c typep.c -o typep.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c workarea.c -o workarea.o mv math.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c veriusertfs.c -o veriusertfs.o mv nump.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c priv.c -o priv.o mv putlongp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_close.c -o a_close.o mv spname.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_compare_handles.c -o a_compare_handles.o mv typep.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_configure.c -o a_configure.o mv workarea.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_argc.c -o a_fetch_argc.o mv priv.d dep mv a_close.d dep mv putp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_argv.c -o a_fetch_argv.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_dir.c -o a_fetch_dir.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_fullname.c -o a_fetch_fullname.o mv getsimtime.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_location.c -o a_fetch_location.o mv a_compare_handles.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_param.c -o a_fetch_param.o mv a_fetch_argc.d dep mv a_configure.d dep mv a_fetch_argv.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_range.c -o a_fetch_range.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_tfarg.c -o a_fetch_tfarg.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_time.c -o a_fetch_time.o mv a_fetch_dir.d dep mv a_fetch_fullname.d dep mv a_fetch_location.d dep mv a_fetch_param.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_type.c -o a_fetch_type.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_type_str.c -o a_fetch_type_str.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_fetch_value.c -o a_fetch_value.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_by_name.c -o a_handle_by_name.o mv a_fetch_range.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_hiconn.c -o a_handle_hiconn.o mv a_fetch_time.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_object.c -o a_handle_object.o mv a_fetch_type_str.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_parent.c -o a_handle_parent.o mv a_handle_by_name.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_simulated_net.c -o a_handle_simulated_net.o mv veriusertfs.d dep mv a_handle_hiconn.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_handle_tfarg.c -o a_handle_tfarg.o mv a_fetch_type.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_initialize.c -o a_initialize.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next.c -o a_next.o mv a_fetch_tfarg.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next_bit.c -o a_next_bit.o mv a_handle_object.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next_port.c -o a_next_port.o mv a_fetch_value.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_next_topmod.c -o a_next_topmod.o mv a_initialize.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_object_of_type.c -o a_object_of_type.o mv a_handle_parent.d dep mv a_handle_simulated_net.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_product_version.c -o a_product_version.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_set_value.c -o a_set_value.o mv a_handle_tfarg.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_vcl.c -o a_vcl.o mv a_next_bit.d dep mv a_next_port.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -DICARUS_VPI_CONST=const -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c a_version.c -o a_version.o mv a_next_topmod.d dep mv a_next.d dep mv a_product_version.d dep mv a_version.d dep mv a_set_value.d dep mv a_object_of_type.d dep mv a_vcl.d dep ld -r -o libveriuser.o asynch.o delay.o exprinfo.o finish.o getcstringp.o getinstance.o getlongp.o getp.o getsimtime.o io_print.o math.o mc_scan_plusargs.o nodeinfo.o nump.o putlongp.o putp.o spname.o typep.o workarea.o veriusertfs.o priv.o a_close.o a_compare_handles.o a_configure.o a_fetch_argc.o a_fetch_argv.o a_fetch_dir.o a_fetch_fullname.o a_fetch_location.o a_fetch_param.o a_fetch_range.o a_fetch_tfarg.o a_fetch_time.o a_fetch_type.o a_fetch_type_str.o a_fetch_value.o a_handle_by_name.o a_handle_hiconn.o a_handle_object.o a_handle_parent.o a_handle_simulated_net.o a_handle_tfarg.o a_initialize.o a_next.o a_next_bit.o a_next_port.o a_next_topmod.o a_object_of_type.o a_product_version.o a_set_value.o a_vcl.o a_version.o rm -f libveriuser.a ar cvq libveriuser.a libveriuser.o a - libveriuser.o ranlib libveriuser.a make[2]: Leaving directory '/build/iverilog-11.0/libveriuser' make[2]: Entering directory '/build/iverilog-11.0/cadpli' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cadpli.c mv cadpli.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o cadpli.vpl cadpli.o ../libveriuser/libveriuser.o -L../vpi -lvpi make[2]: Leaving directory '/build/iverilog-11.0/cadpli' make[2]: Entering directory '/build/iverilog-11.0/tgt-null' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c null.c -o null.o mv null.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o null.tgt null.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-null' make[2]: Entering directory '/build/iverilog-11.0/tgt-stub' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stub.c -o stub.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c classes.c -o classes.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c constant.c -o constant.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c enumerate.c -o enumerate.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expression.c -o expression.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c statement.c -o statement.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c switches.c -o switches.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c types.c -o types.o mv classes.d dep mv enumerate.d dep mv constant.d dep mv types.d dep mv switches.d dep mv statement.d dep mv expression.d dep mv stub.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o stub.tgt stub.o classes.o constant.o enumerate.o expression.o statement.o switches.o types.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[2]: Entering directory '/build/iverilog-11.0/tgt-vvp' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp.c -o vvp.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_class.c -o draw_class.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_delay.c -o draw_delay.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_enum.c -o draw_enum.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_mux.c -o draw_mux.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_net_input.c -o draw_net_input.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_substitute.c -o draw_substitute.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_switch.c -o draw_switch.o mv draw_substitute.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_ufunc.c -o draw_ufunc.o mv draw_class.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c draw_vpi.c -o draw_vpi.o mv draw_switch.d dep mv draw_enum.d dep mv draw_delay.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_bool.c -o eval_bool.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_condit.c -o eval_condit.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_expr.c -o eval_expr.o mv draw_mux.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_object.c -o eval_object.o mv vvp.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_real.c -o eval_real.o mv eval_bool.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_string.c -o eval_string.o mv draw_ufunc.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c eval_vec4.c -o eval_vec4.o mv eval_condit.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c modpath.c -o modpath.o mv eval_object.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stmt_assign.c -o stmt_assign.o mv eval_string.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_process.c -o vvp_process.o mv eval_expr.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vvp_scope.c -o vvp_scope.o mv modpath.d dep echo 'flag:VVP_EXECUTABLE=/usr/bin/vvp' | cat ./vvp.conf.in - > vvp.conf echo 'flag:VVP_EXECUTABLE=/usr/bin/vvp' | cat ./vvp-s.conf.in - > vvp-s.conf mv draw_vpi.d dep mv eval_real.d dep mv draw_net_input.d dep mv eval_vec4.d dep mv stmt_assign.d dep mv vvp_process.d dep mv vvp_scope.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o vvp.tgt vvp.o draw_class.o draw_delay.o draw_enum.o draw_mux.o draw_net_input.o draw_substitute.o draw_switch.o draw_ufunc.o draw_vpi.o eval_bool.o eval_condit.o eval_expr.o eval_object.o eval_real.o eval_string.o eval_vec4.o modpath.o stmt_assign.o vvp_process.o vvp_scope.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[2]: Entering directory '/build/iverilog-11.0/tgt-vhdl' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl.cc -o vhdl.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c state.cc -o state.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_element.cc -o vhdl_element.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_type.cc -o vhdl_type.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vhdl_syntax.cc -o vhdl_syntax.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.cc -o scope.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c process.cc -o process.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stmt.cc -o stmt.o state.cc: In function 'bool seen_this_scope_type(ivl_scope_t)': state.cc:300:31: warning: 'std::pointer_to_binary_function<_Arg1, _Arg2, _Result> std::ptr_fun(_Result (*)(_Arg1, _Arg2)) [with _Arg1 = ivl_scope_s*; _Arg2 = ivl_scope_s*; _Result = bool]' is deprecated: use 'std::function' instead [-Wdeprecated-declarations] 300 | bind1st(ptr_fun(same_scope_type_name), s)) | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/string:48, from state.hh:27, from state.cc:21: /usr/include/c++/12/bits/stl_function.h:1153:5: note: declared here 1153 | ptr_fun(_Result (*__x)(_Arg1, _Arg2)) | ^~~~~~~ state.cc:300:23: warning: 'std::binder1st<_Operation> std::bind1st(const _Operation&, const _Tp&) [with _Operation = pointer_to_binary_function; _Tp = ivl_scope_s*]' is deprecated: use 'std::bind' instead [-Wdeprecated-declarations] 300 | bind1st(ptr_fun(same_scope_type_name), s)) | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/bits/stl_function.h:1438: /usr/include/c++/12/backward/binders.h:136:5: note: declared here 136 | bind1st(const _Operation& __fn, const _Tp& __x) | ^~~~~~~ mv vhdl_element.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expr.cc -o expr.o mv vhdl_type.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm.cc -o lpm.o mv vhdl.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c support.cc -o support.o mv process.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cast.cc -o cast.o mv state.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic.cc -o logic.o mv support.d dep mv lpm.d dep mv expr.d dep mv cast.d dep mv logic.d dep mv stmt.d dep mv vhdl_syntax.d dep mv scope.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o vhdl.tgt vhdl.o state.o vhdl_element.o vhdl_type.o vhdl_syntax.o scope.o process.o stmt.o expr.o lpm.o support.o cast.o logic.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Entering directory '/build/iverilog-11.0/tgt-vlog95' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c vlog95.c -o vlog95.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c event.c -o event.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c expr.c -o expr.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic_lpm.c -o logic_lpm.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c misc.c -o misc.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c numbers.c -o numbers.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.c -o scope.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c stmt.c -o stmt.o mv event.d dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c udp.c -o udp.o mv vlog95.d dep mv udp.d dep mv numbers.d dep mv misc.d dep mv expr.d dep mv scope.d dep mv stmt.d dep mv logic_lpm.d dep gcc -shared -Wl,-z,relro -Wl,-z,now -o vlog95.tgt vlog95.o event.o expr.o logic_lpm.o misc.o numbers.o scope.o stmt.o udp.o -lm make[2]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Entering directory '/build/iverilog-11.0/tgt-pcb' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c pcb.cc -o pcb.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scope.cc -o scope.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c show_netlist.cc -o show_netlist.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c show_pcb.cc -o show_pcb.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c footprint.cc -o footprint.o bison --verbose -t -p fp --defines=fp.h -o fp.cc fp.y flex -s -ofp_lex.cc ./fp.lex g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fp.cc -o fp.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c fp_lex.cc -o fp_lex.o mv pcb.d dep mv show_netlist.d dep mv fp_lex.d dep mv show_pcb.d dep mv fp.d dep mv footprint.d dep mv scope.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o pcb.tgt pcb.o scope.o show_netlist.o show_pcb.o footprint.o fp.o fp_lex.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[2]: Entering directory '/build/iverilog-11.0/tgt-blif' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c blif.cc -o blif.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c constants.cc -o constants.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c logic_gate.cc -o logic_gate.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm.cc -o lpm.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_add.cc -o lpm_add.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_cmp_eq.cc -o lpm_cmp_eq.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_cmp_gt.cc -o lpm_cmp_gt.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_ff.cc -o lpm_ff.o mv constants.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_mux.cc -o lpm_mux.o mv lpm.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_part_vp.cc -o lpm_part_vp.o mv lpm_ff.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_re_logic.cc -o lpm_re_logic.o mv logic_gate.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_shift.cc -o lpm_shift.o mv lpm_cmp_eq.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c lpm_sign_ext.cc -o lpm_sign_ext.o mv lpm_add.d dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c nex_data.cc -o nex_data.o mv lpm_cmp_gt.d dep mv blif.d dep mv lpm_part_vp.d dep mv lpm_re_logic.d dep mv lpm_mux.d dep mv lpm_shift.d dep mv lpm_sign_ext.d dep mv nex_data.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o blif.tgt blif.o constants.o logic_gate.o lpm.o lpm_add.o lpm_cmp_eq.o lpm_cmp_gt.o lpm_ff.o lpm_mux.o lpm_part_vp.o lpm_re_logic.o lpm_shift.o lpm_sign_ext.o nex_data.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[2]: Entering directory '/build/iverilog-11.0/tgt-sizer' mkdir dep g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c sizer.cc -o sizer.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scan_lpms.cc -o scan_lpms.o g++ -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -fPIC -Wall -Wextra -Wshadow -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c scan_logs.cc -o scan_logs.o mv scan_logs.d dep mv scan_lpms.d dep mv sizer.d dep g++ -shared -Wl,-z,relro -Wl,-z,now -o sizer.tgt sizer.o scan_lpms.o scan_logs.o make[2]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[2]: Entering directory '/build/iverilog-11.0/driver' mkdir dep gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c -DIVL_ROOT='"/usr/lib/i386-linux-gnu/ivl"' -DIVL_SUFFIX='""' -DIVL_INC='"/usr/include"' -DIVL_LIB='"/usr/lib/i386-linux-gnu"' -DDLLIB='"-ldl"' ./main.c gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c substit.c -o substit.o flex -s -t cflexor.lex > cflexor.c bison --verbose -t -p cf -d -o cfparse.c cfparse.y ../version.exe `head -1 ./iverilog.man.in`'\n' > iverilog.man tail -n +2 ./iverilog.man.in >> iverilog.man gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cflexor.c -o cflexor.o gcc -I. -I.. -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -Wall -Wextra -Wshadow -Wstrict-prototypes -g -O2 -ffile-prefix-map=/build/iverilog-11.0=. -fstack-protector-strong -Wformat -Werror=format-security -MD -c cfparse.c -o cfparse.o mv substit.d dep ./main.c: In function 'main': ./main.c:473:39: warning: '%s' directive output may be truncated writing up to 4095 bytes into a region of size 4092 [-Wformat-truncation=] 473 | snprintf(tmp, sizeof tmp, " -C\"%s\"", iconfig_common_path); | ^~ ~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/stdio.h:906, from ./main.c:53: In function 'snprintf', inlined from 't_compile' at ./main.c:473:7, inlined from 'main' at ./main.c:1482:14: /usr/include/i386-linux-gnu/bits/stdio2.h:54:10: note: '__builtin___snprintf_chk' output between 6 and 4101 bytes into a destination of size 4096 54 | return __builtin___snprintf_chk (__s, __n, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 55 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 56 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ ./main.c: In function 'main': ./main.c:337:58: warning: '%s' directive output may be truncated writing up to 4095 bytes into a region of size 4080 [-Wformat-truncation=] 337 | snprintf(tmp, sizeof tmp, "%s%civl -V -C\"%s\" -C\"%s\"", base, sep, | ^~ 338 | iconfig_path, iconfig_common_path); | ~~~~~~~~~~~~~~~~~~~ In function 'snprintf', inlined from 't_version_only' at ./main.c:337:7, inlined from 'main' at ./main.c:1473:13: /usr/include/i386-linux-gnu/bits/stdio2.h:54:10: note: '__builtin___snprintf_chk' output 18 or more bytes (assuming 4113) into a destination of size 4096 54 | return __builtin___snprintf_chk (__s, __n, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 55 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 56 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ mv cfparse.d dep mv cflexor.d dep mv main.d dep gcc -Wl,-z,relro -Wl,-z,now main.o substit.o cflexor.o cfparse.o -o iverilog make[2]: Leaving directory '/build/iverilog-11.0/driver' make[1]: Leaving directory '/build/iverilog-11.0' dh_auto_test make -j8 check "TESTSUITEFLAGS=-j8 --verbose" VERBOSE=1 make[1]: Entering directory '/build/iverilog-11.0' make -C ivlpp all && make -C vhdlpp all && make -C vvp all && make -C vpi all && make -C libveriuser all && make -C cadpli all && make -C tgt-null all && make -C tgt-stub all && make -C tgt-vvp all && make -C tgt-vhdl all && make -C tgt-vlog95 all && make -C tgt-pcb all && make -C tgt-blif all && make -C tgt-sizer all && make -C driver all && true make[2]: Entering directory '/build/iverilog-11.0/ivlpp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/ivlpp' make[2]: Entering directory '/build/iverilog-11.0/vhdlpp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[2]: Entering directory '/build/iverilog-11.0/vvp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/vvp' make[2]: Entering directory '/build/iverilog-11.0/vpi' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/vpi' make[2]: Entering directory '/build/iverilog-11.0/libveriuser' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/libveriuser' make[2]: Entering directory '/build/iverilog-11.0/cadpli' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/cadpli' make[2]: Entering directory '/build/iverilog-11.0/tgt-null' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-null' make[2]: Entering directory '/build/iverilog-11.0/tgt-stub' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[2]: Entering directory '/build/iverilog-11.0/tgt-vvp' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[2]: Entering directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Entering directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Entering directory '/build/iverilog-11.0/tgt-pcb' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[2]: Entering directory '/build/iverilog-11.0/tgt-blif' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[2]: Entering directory '/build/iverilog-11.0/tgt-sizer' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[2]: Entering directory '/build/iverilog-11.0/driver' make[2]: Nothing to be done for 'all'. make[2]: Leaving directory '/build/iverilog-11.0/driver' make -C ivlpp check && make -C vhdlpp check && make -C vvp check && make -C vpi check && make -C libveriuser check && make -C cadpli check && make -C tgt-null check && make -C tgt-stub check && make -C tgt-vvp check && make -C tgt-vhdl check && make -C tgt-vlog95 check && make -C tgt-pcb check && make -C tgt-blif check && make -C tgt-sizer check && make -C driver check && true make[2]: Entering directory '/build/iverilog-11.0/ivlpp' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/ivlpp' make[2]: Entering directory '/build/iverilog-11.0/vhdlpp' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[2]: Entering directory '/build/iverilog-11.0/vvp' ./vvp -M../vpi ./examples/hello.vvp | grep 'Hello, World.' Hello, World. make[2]: Leaving directory '/build/iverilog-11.0/vvp' make[2]: Entering directory '/build/iverilog-11.0/vpi' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/vpi' make[2]: Entering directory '/build/iverilog-11.0/libveriuser' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/libveriuser' make[2]: Entering directory '/build/iverilog-11.0/cadpli' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/cadpli' make[2]: Entering directory '/build/iverilog-11.0/tgt-null' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-null' make[2]: Entering directory '/build/iverilog-11.0/tgt-stub' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[2]: Entering directory '/build/iverilog-11.0/tgt-vvp' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[2]: Entering directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[2]: Entering directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[2]: Entering directory '/build/iverilog-11.0/tgt-pcb' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[2]: Entering directory '/build/iverilog-11.0/tgt-blif' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[2]: Entering directory '/build/iverilog-11.0/tgt-sizer' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[2]: Entering directory '/build/iverilog-11.0/driver' make[2]: Nothing to be done for 'check'. make[2]: Leaving directory '/build/iverilog-11.0/driver' test -r check.conf || cp ./check.conf . driver/iverilog -B. -BMvpi -BPivlpp -tcheck -ocheck.vvp ./examples/hello.vl vvp/vvp -M- -M./vpi ./check.vvp | grep 'Hello, World' Hello, World make[1]: Leaving directory '/build/iverilog-11.0' create-stamp debian/debhelper-build-stamp dh_prep debian/rules override_dh_auto_install make[1]: Entering directory '/build/iverilog-11.0' /usr/bin/make prefix=/build/iverilog-11.0/debian/iverilog/usr install make[2]: Entering directory '/build/iverilog-11.0' /usr/bin/make -C ivlpp all && /usr/bin/make -C vhdlpp all && /usr/bin/make -C vvp all && /usr/bin/make -C vpi all && /usr/bin/make -C libveriuser all && /usr/bin/make -C cadpli all && /usr/bin/make -C tgt-null all && /usr/bin/make -C tgt-stub all && /usr/bin/make -C tgt-vvp all && /usr/bin/make -C tgt-vhdl all && /usr/bin/make -C tgt-vlog95 all && /usr/bin/make -C tgt-pcb all && /usr/bin/make -C tgt-blif all && /usr/bin/make -C tgt-sizer all && /usr/bin/make -C driver all && true make[3]: Entering directory '/build/iverilog-11.0/ivlpp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/ivlpp' make[3]: Entering directory '/build/iverilog-11.0/vhdlpp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[3]: Entering directory '/build/iverilog-11.0/vvp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/vvp' make[3]: Entering directory '/build/iverilog-11.0/vpi' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/vpi' make[3]: Entering directory '/build/iverilog-11.0/libveriuser' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/libveriuser' make[3]: Entering directory '/build/iverilog-11.0/cadpli' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/cadpli' make[3]: Entering directory '/build/iverilog-11.0/tgt-null' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-null' make[3]: Entering directory '/build/iverilog-11.0/tgt-stub' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[3]: Entering directory '/build/iverilog-11.0/tgt-vvp' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[3]: Entering directory '/build/iverilog-11.0/tgt-vhdl' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[3]: Entering directory '/build/iverilog-11.0/tgt-vlog95' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[3]: Entering directory '/build/iverilog-11.0/tgt-pcb' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[3]: Entering directory '/build/iverilog-11.0/tgt-blif' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[3]: Entering directory '/build/iverilog-11.0/tgt-sizer' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[3]: Entering directory '/build/iverilog-11.0/driver' make[3]: Nothing to be done for 'all'. make[3]: Leaving directory '/build/iverilog-11.0/driver' ./mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" \ "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog" \ "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" \ "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/include" \ "/build/iverilog-11.0/debian/iverilog/usr/share/man" \ "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1" mkdir -p -- /build/iverilog-11.0/debian/iverilog/usr/bin /build/iverilog-11.0/debian/iverilog/usr/include/iverilog /build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl /build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/include /build/iverilog-11.0/debian/iverilog/usr/share/man /build/iverilog-11.0/debian/iverilog/usr/share/man/man1 /usr/bin/install -c -m 644 iverilog-vpi.man "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1/iverilog-vpi.1" /usr/bin/install -c ./iverilog-vpi "/build/iverilog-11.0/debian/iverilog/usr/bin/iverilog-vpi" /usr/bin/install -c ./ivl "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/ivl" /usr/bin/install -c -m 644 ./constants.vams "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/include/constants.vams" /usr/bin/install -c -m 644 ./disciplines.vams "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/include/disciplines.vams" /usr/bin/install -c -m 644 ./ivl_target.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/ivl_target.h" /usr/bin/install -c -m 644 ./_pli_types.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/_pli_types.h" /usr/bin/install -c -m 644 ./sv_vpi_user.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/sv_vpi_user.h" /usr/bin/install -c -m 644 ./vpi_user.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/vpi_user.h" /usr/bin/install -c -m 644 ./acc_user.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/acc_user.h" /usr/bin/install -c -m 644 ./veriuser.h "/build/iverilog-11.0/debian/iverilog/usr/include/iverilog/veriuser.h" /usr/bin/make -C ivlpp install && /usr/bin/make -C vhdlpp install && /usr/bin/make -C vvp install && /usr/bin/make -C vpi install && /usr/bin/make -C libveriuser install && /usr/bin/make -C cadpli install && /usr/bin/make -C tgt-null install && /usr/bin/make -C tgt-stub install && /usr/bin/make -C tgt-vvp install && /usr/bin/make -C tgt-vhdl install && /usr/bin/make -C tgt-vlog95 install && /usr/bin/make -C tgt-pcb install && /usr/bin/make -C tgt-blif install && /usr/bin/make -C tgt-sizer install && /usr/bin/make -C driver install && true make[3]: Entering directory '/build/iverilog-11.0/ivlpp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./ivlpp "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/ivlpp" make[3]: Leaving directory '/build/iverilog-11.0/ivlpp' make[3]: Entering directory '/build/iverilog-11.0/vhdlpp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./vhdlpp "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vhdlpp" make[3]: Leaving directory '/build/iverilog-11.0/vhdlpp' make[3]: Entering directory '/build/iverilog-11.0/vvp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu" "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1" /usr/bin/install -c -m 644 vvp.man "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1/vvp.1" /usr/bin/install -c ./vvp "/build/iverilog-11.0/debian/iverilog/usr/bin/vvp" make[3]: Leaving directory '/build/iverilog-11.0/vvp' make[3]: Entering directory '/build/iverilog-11.0/vpi' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c -m 644 ./libvpi.a "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/libvpi.a" /usr/bin/install -c ./system.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/system.vpi" /usr/bin/install -c ./va_math.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/va_math.vpi" /usr/bin/install -c ./v2005_math.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/v2005_math.vpi" /usr/bin/install -c ./v2009.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/v2009.vpi" /usr/bin/install -c ./vhdl_sys.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vhdl_sys.vpi" /usr/bin/install -c ./vhdl_textio.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vhdl_textio.vpi" /usr/bin/install -c ./vpi_debug.vpi "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vpi_debug.vpi" make[3]: Leaving directory '/build/iverilog-11.0/vpi' make[3]: Entering directory '/build/iverilog-11.0/libveriuser' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu" /usr/bin/install -c -m 644 ./libveriuser.a "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/libveriuser.a" make[3]: Leaving directory '/build/iverilog-11.0/libveriuser' make[3]: Entering directory '/build/iverilog-11.0/cadpli' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./cadpli.vpl "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/cadpli.vpl" make[3]: Leaving directory '/build/iverilog-11.0/cadpli' make[3]: Entering directory '/build/iverilog-11.0/tgt-null' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./null.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/null.tgt" /usr/bin/install -c -m 644 ./null.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/null.conf" /usr/bin/install -c -m 644 ./null-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/null-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-null' make[3]: Entering directory '/build/iverilog-11.0/tgt-stub' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./stub.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/stub.tgt" /usr/bin/install -c -m 644 ./stub.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/stub.conf" /usr/bin/install -c -m 644 ./stub-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/stub-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-stub' make[3]: Entering directory '/build/iverilog-11.0/tgt-vvp' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./vvp.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vvp.tgt" /usr/bin/install -c -m 644 ./vvp.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vvp.conf" /usr/bin/install -c -m 644 ./vvp-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vvp-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-vvp' make[3]: Entering directory '/build/iverilog-11.0/tgt-vhdl' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./vhdl.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vhdl.tgt" /usr/bin/install -c -m 644 ./vhdl.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vhdl.conf" /usr/bin/install -c -m 644 ./vhdl-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vhdl-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-vhdl' make[3]: Entering directory '/build/iverilog-11.0/tgt-vlog95' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./vlog95.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vlog95.tgt" /usr/bin/install -c -m 644 ./vlog95.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vlog95.conf" /usr/bin/install -c -m 644 ./vlog95-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/vlog95-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-vlog95' make[3]: Entering directory '/build/iverilog-11.0/tgt-pcb' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./pcb.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/pcb.tgt" /usr/bin/install -c -m 644 ./pcb.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/pcb.conf" /usr/bin/install -c -m 644 ./pcb-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/pcb-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-pcb' make[3]: Entering directory '/build/iverilog-11.0/tgt-blif' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./blif.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/blif.tgt" /usr/bin/install -c -m 644 ./blif.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/blif.conf" /usr/bin/install -c -m 644 ./blif-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/blif-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-blif' make[3]: Entering directory '/build/iverilog-11.0/tgt-sizer' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl" /usr/bin/install -c ./sizer.tgt "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/sizer.tgt" /usr/bin/install -c -m 644 ./sizer.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/sizer.conf" /usr/bin/install -c -m 644 ./sizer-s.conf "/build/iverilog-11.0/debian/iverilog/usr/lib/i386-linux-gnu/ivl/sizer-s.conf" make[3]: Leaving directory '/build/iverilog-11.0/tgt-sizer' make[3]: Entering directory '/build/iverilog-11.0/driver' ./../mkinstalldirs "/build/iverilog-11.0/debian/iverilog/usr/bin" "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1" /usr/bin/install -c -m 644 iverilog.man "/build/iverilog-11.0/debian/iverilog/usr/share/man/man1/iverilog.1" /usr/bin/install -c ./iverilog "/build/iverilog-11.0/debian/iverilog/usr/bin/iverilog" make[3]: Leaving directory '/build/iverilog-11.0/driver' make[2]: Leaving directory '/build/iverilog-11.0' make[1]: Leaving directory '/build/iverilog-11.0' dh_installdocs dh_installchangelogs dh_installexamples dh_installman dh_perl dh_link dh_strip_nondeterminism debian/rules override_dh_compress make[1]: Entering directory '/build/iverilog-11.0' dh_compress --exclude=.v make[1]: Leaving directory '/build/iverilog-11.0' dh_fixperms dh_missing dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dh_md5sums dh_builddeb dpkg-deb: building package 'iverilog' in '../iverilog_11.0-1.1_i386.deb'. dpkg-deb: building package 'iverilog-dbgsym' in '../iverilog-dbgsym_11.0-1.1_i386.deb'. dpkg-genbuildinfo --build=binary -O../iverilog_11.0-1.1_i386.buildinfo dpkg-genchanges --build=binary -O../iverilog_11.0-1.1_i386.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/2961 and its subdirectories I: Current time: Tue Apr 11 23:34:01 -12 2023 I: pbuilder-time-stamp: 1681299241 Wed Apr 12 11:34:01 UTC 2023 I: 1st build successful. Starting 2nd build on remote node ionos16-i386.debian.net. Wed Apr 12 11:34:01 UTC 2023 I: Preparing to do remote build '2' on ionos16-i386.debian.net. Wed Apr 12 11:39:29 UTC 2023 I: Deleting $TMPDIR on ionos16-i386.debian.net. Wed Apr 12 11:39:29 UTC 2023 I: iverilog_11.0-1.1_i386.changes: Format: 1.8 Date: Sun, 07 Nov 2021 19:15:21 +0200 Source: iverilog Binary: iverilog iverilog-dbgsym Architecture: i386 Version: 11.0-1.1 Distribution: unstable Urgency: medium Maintainer: Debian Electronics Team Changed-By: Adrian Bunk Description: iverilog - Icarus verilog compiler Closes: 995040 Changes: iverilog (11.0-1.1) unstable; urgency=medium . * Non-maintainer upload. * Add upstream fix for FTBFS with autoconf >= 2.70. (Closes: #995040) Checksums-Sha1: c78e3589b82bc54f8d4f1077c8f701a4996b0ec1 17692168 iverilog-dbgsym_11.0-1.1_i386.deb 4a64c4deaa0b146b8e43f52343ccaed1b92ef0e0 5387 iverilog_11.0-1.1_i386.buildinfo 8476d5b4f5782446addc8f7f462ab3a1e0f8778f 2107728 iverilog_11.0-1.1_i386.deb Checksums-Sha256: ab8e003b030761835f848a1a5f89b81550200f5bb96da7a1be5d326458fcd3d1 17692168 iverilog-dbgsym_11.0-1.1_i386.deb 40fce66d19e82f38396e791bb9798381598166e7aeec01bcaa5a4ffaa66528f0 5387 iverilog_11.0-1.1_i386.buildinfo 3576dbb21340f5172bdb7ec3f4fbb8c8a067a53a34b0a9b73ebef7bb13b1810c 2107728 iverilog_11.0-1.1_i386.deb Files: 341998bdc4824554173c0fe5303f4391 17692168 debug optional iverilog-dbgsym_11.0-1.1_i386.deb 71e90c82d2eb9cd2c1cdfe5596ed0723 5387 electronics optional iverilog_11.0-1.1_i386.buildinfo c5e38bce76ad5977a07f901424d2acda 2107728 electronics optional iverilog_11.0-1.1_i386.deb Wed Apr 12 11:39:31 UTC 2023 I: diffoscope 240 will be used to compare the two builds: # Profiling output for: /usr/bin/diffoscope --timeout 7200 --html /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/iverilog_11.0-1.1.diffoscope.html --text /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/iverilog_11.0-1.1.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/iverilog_11.0-1.1.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/b1/iverilog_11.0-1.1_i386.changes /srv/reproducible-results/rbuild-debian/r-b-build.gMf2qSND/b2/iverilog_11.0-1.1_i386.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 0.515s) 0.515s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 0.228s) 0.228s 12 calls diffoscope.comparators.binary.FilesystemFile 0.000s 10 calls abc.DotChangesFile ## specialize (total time: 0.001s) 0.001s 1 call specialize Wed Apr 12 11:39:32 UTC 2023 I: diffoscope 240 found no differences in the changes files, and a .buildinfo file also exists. Wed Apr 12 11:39:32 UTC 2023 I: iverilog from bookworm built successfully and reproducibly on i386. Wed Apr 12 11:39:33 UTC 2023 I: Submitting .buildinfo files to external archives: Wed Apr 12 11:39:33 UTC 2023 I: Submitting 8.0K b1/iverilog_11.0-1.1_i386.buildinfo.asc Wed Apr 12 11:39:34 UTC 2023 I: Submitting 8.0K b2/iverilog_11.0-1.1_i386.buildinfo.asc Wed Apr 12 11:39:35 UTC 2023 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Wed Apr 12 11:39:35 UTC 2023 I: Done submitting .buildinfo files. Wed Apr 12 11:39:35 UTC 2023 I: Removing signed iverilog_11.0-1.1_i386.buildinfo.asc files: removed './b1/iverilog_11.0-1.1_i386.buildinfo.asc' removed './b2/iverilog_11.0-1.1_i386.buildinfo.asc'