Tue May 30 13:31:19 UTC 2023 I: starting to build xsimd/bookworm/armhf on jenkins on '2023-05-30 13:30' Tue May 30 13:31:19 UTC 2023 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/armhf_30/2234/console.log Tue May 30 13:31:19 UTC 2023 I: Downloading source for bookworm/xsimd=8.1.0-7 --2023-05-30 13:31:20-- http://cdn-fastly.deb.debian.org/debian/pool/main/x/xsimd/xsimd_8.1.0-7.dsc Connecting to 78.137.99.97:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 2190 (2.1K) [text/prs.lines.tag] Saving to: ‘xsimd_8.1.0-7.dsc’ 0K .. 100% 144M=0s 2023-05-30 13:31:20 (144 MB/s) - ‘xsimd_8.1.0-7.dsc’ saved [2190/2190] Tue May 30 13:31:20 UTC 2023 I: xsimd_8.1.0-7.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 Format: 3.0 (quilt) Source: xsimd Binary: libxsimd-dev, libxsimd-doc Architecture: any all Version: 8.1.0-7 Maintainer: Debian Science Maintainers Uploaders: Julien Lamy Homepage: https://github.com/xtensor-stack/xsimd Standards-Version: 4.6.1.0 Vcs-Browser: https://salsa.debian.org/science-team/xsimd Vcs-Git: https://salsa.debian.org/science-team/xsimd.git Testsuite: autopkgtest Testsuite-Triggers: clang, g++ Build-Depends: debhelper-compat (= 13), cmake, xtl-dev, python3-breathe , python3-sphinx , python3-sphinx-rtd-theme , libgtest-dev Package-List: libxsimd-dev deb libdevel optional arch=any libxsimd-doc deb doc optional arch=all profile=!nodoc Checksums-Sha1: 526b474b7d37673f08e7ab8838bc39d16c77fd88 190180 xsimd_8.1.0.orig.tar.gz 34f13bd1f9dbc1f1777c2794f0aaa70e975a92a6 7212 xsimd_8.1.0-7.debian.tar.xz Checksums-Sha256: d52551360d37709675237d2a0418e28f70995b5b7cdad7c674626bcfbbf48328 190180 xsimd_8.1.0.orig.tar.gz 3f7de32d7645d7a52399d80dcda4805e458f3ef3b0d90faa5327f9423bdc0f81 7212 xsimd_8.1.0-7.debian.tar.xz Files: e3edf90fcdf1d11297ed74bda96d7fbd 190180 xsimd_8.1.0.orig.tar.gz b79023a92173be246e18e9ec087afb39 7212 xsimd_8.1.0-7.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQJEBAEBCAAuFiEEi90BMIDpoOjA1qFXOzZd6T69HNQFAmLL1UUQHGxhbXlAdW5p c3RyYS5mcgAKCRA7Nl3pPr0c1LloD/9fAcCeZc40W9tBJWKxh+quhhdxav2tNkzD XhhlAIH0XK23HVEm4T059icP52WVToMJ0VOHX7Qd2bCw7EVuJvHN0sqs1+34yp/b mExE+9fr5lgMhq9WqZbHP9Tor/m4T5fqCTi61LaloopiJcHUEOFh1tvJ1pww9W/O nH39M+aU0QFAGEvuPzrW0G8zgKwxR59OeXNNGJJRKU0eqJNBPWWZq+HqQFV+eLTQ 7r3RqyxJqJJ0ky96+mrgN8qNTGhCimq9n1/p5+HIjDJdI2GT5Z2h9aTgRDApPldX /7jPLaARj8rUI08rxF1/Bfk028NuhMLx/nZIRjB0WnOtNwE6N94GJbyrd0ZHi6FA un4xOUgOEgzjzBrRlbRwoxyYmxlk/NP0W6iGOccXllqpG9XGT5f84TPD4pL8vMgW X5QANxhD21tFwPtT8sPeCE/T4uvm5YpO8EGa5Wo7Y779PgZHJi0kvCTUlHrHd5wE BTMywoZAQ0LhUuFJ/rA7la3JYZk0TYeyRTctB5UZLxZU+F5PTT4dLKgtpcMs0x7Q l8+GOFQU4kBCKbiKLL4eP0Z4hbf/67o3nB3BDyXvrDT29u9rXtXtqb3ux34synOE fNE9mrKFxaOLdz8st1WrpvU8/nLebIk3P9iTueZd8GFzS+DCtwxpsnHFZevmkioj KxRtA/ieoA== =Epq9 -----END PGP SIGNATURE----- Tue May 30 13:31:20 UTC 2023 I: Checking whether the package is not for us Tue May 30 13:31:20 UTC 2023 I: Starting 1st build on remote node ff64a-armhf-rb.debian.net. Tue May 30 13:31:20 UTC 2023 I: Preparing to do remote build '1' on ff64a-armhf-rb.debian.net. Tue May 30 13:52:25 UTC 2023 I: Deleting $TMPDIR on ff64a-armhf-rb.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Tue May 30 01:31:27 -12 2023 I: pbuilder-time-stamp: 1685453487 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [xsimd_8.1.0-7.dsc] I: copying [./xsimd_8.1.0.orig.tar.gz] I: copying [./xsimd_8.1.0-7.debian.tar.xz] I: Extracting source gpgv: Signature made Sun Jul 10 19:46:13 2022 -12 gpgv: using RSA key 8BDD013080E9A0E8C0D6A1573B365DE93EBD1CD4 gpgv: issuer "lamy@unistra.fr" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./xsimd_8.1.0-7.dsc: no acceptable signature found dpkg-source: info: extracting xsimd in xsimd-8.1.0 dpkg-source: info: unpacking xsimd_8.1.0.orig.tar.gz dpkg-source: info: unpacking xsimd_8.1.0-7.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying xtl_complex_PR772.patch dpkg-source: info: applying xtl_complex_PR774.patch dpkg-source: info: applying bump_cpp_standard_PR778.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/32074/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='armhf' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=5 ' DISTRIBUTION='bookworm' HOME='/root' HOST_ARCH='armhf' IFS=' ' INVOCATION_ID='973dfd3c5b7941b4b99bcdf35d14b504' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='32074' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/pbuilderrc_F1OM --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/b1 --logfile b1/build.log xsimd_8.1.0-7.dsc' SUDO_GID='114' SUDO_UID='109' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://10.0.0.15:3142/' I: uname -a Linux ff64a 5.10.0-23-arm64 #1 SMP Debian 5.10.179-1 (2023-05-12) aarch64 GNU/Linux I: ls -l /bin total 5072 -rwxr-xr-x 1 root root 838488 Apr 23 09:24 bash -rwxr-xr-x 3 root root 67144 Sep 18 2022 bunzip2 -rwxr-xr-x 3 root root 67144 Sep 18 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 18 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 18 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 18 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 18 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 18 2022 bzgrep -rwxr-xr-x 3 root root 67144 Sep 18 2022 bzip2 -rwxr-xr-x 1 root root 67112 Sep 18 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 18 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 18 2022 bzmore -rwxr-xr-x 1 root root 67632 Sep 20 2022 cat -rwxr-xr-x 1 root root 67676 Sep 20 2022 chgrp -rwxr-xr-x 1 root root 67644 Sep 20 2022 chmod -rwxr-xr-x 1 root root 67684 Sep 20 2022 chown -rwxr-xr-x 1 root root 133532 Sep 20 2022 cp -rwxr-xr-x 1 root root 132868 Jan 5 01:20 dash -rwxr-xr-x 1 root root 133220 Sep 20 2022 date -rwxr-xr-x 1 root root 67732 Sep 20 2022 dd -rwxr-xr-x 1 root root 68104 Sep 20 2022 df -rwxr-xr-x 1 root root 133632 Sep 20 2022 dir -rwxr-xr-x 1 root root 59128 Mar 22 21:02 dmesg lrwxrwxrwx 1 root root 8 Dec 19 01:33 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 19 01:33 domainname -> hostname -rwxr-xr-x 1 root root 67560 Sep 20 2022 echo -rwxr-xr-x 1 root root 41 Jan 24 02:43 egrep -rwxr-xr-x 1 root root 67548 Sep 20 2022 false -rwxr-xr-x 1 root root 41 Jan 24 02:43 fgrep -rwxr-xr-x 1 root root 55748 Mar 22 21:02 findmnt -rwsr-xr-x 1 root root 26208 Mar 22 20:15 fusermount -rwxr-xr-x 1 root root 128608 Jan 24 02:43 grep -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe -rwxr-xr-x 1 root root 64220 Apr 9 2022 gzip -rwxr-xr-x 1 root root 67032 Dec 19 01:33 hostname -rwxr-xr-x 1 root root 67720 Sep 20 2022 ln -rwxr-xr-x 1 root root 35132 Mar 22 21:51 login -rwxr-xr-x 1 root root 133632 Sep 20 2022 ls -rwxr-xr-x 1 root root 136808 Mar 22 21:02 lsblk -rwxr-xr-x 1 root root 67800 Sep 20 2022 mkdir -rwxr-xr-x 1 root root 67764 Sep 20 2022 mknod -rwxr-xr-x 1 root root 67596 Sep 20 2022 mktemp -rwxr-xr-x 1 root root 38504 Mar 22 21:02 more -rwsr-xr-x 1 root root 38496 Mar 22 21:02 mount -rwxr-xr-x 1 root root 9824 Mar 22 21:02 mountpoint -rwxr-xr-x 1 root root 133532 Sep 20 2022 mv lrwxrwxrwx 1 root root 8 Dec 19 01:33 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 2 18:25 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 67608 Sep 20 2022 pwd lrwxrwxrwx 1 root root 4 Apr 23 09:24 rbash -> bash -rwxr-xr-x 1 root root 67600 Sep 20 2022 readlink -rwxr-xr-x 1 root root 67672 Sep 20 2022 rm -rwxr-xr-x 1 root root 67600 Sep 20 2022 rmdir -rwxr-xr-x 1 root root 67400 Nov 2 2022 run-parts -rwxr-xr-x 1 root root 133372 Jan 5 07:55 sed lrwxrwxrwx 1 root root 4 Jan 5 01:20 sh -> dash -rwxr-xr-x 1 root root 67584 Sep 20 2022 sleep -rwxr-xr-x 1 root root 67644 Sep 20 2022 stty -rwsr-xr-x 1 root root 50800 Mar 22 21:02 su -rwxr-xr-x 1 root root 67584 Sep 20 2022 sync -rwxr-xr-x 1 root root 336764 Apr 6 02:25 tar -rwxr-xr-x 1 root root 67144 Nov 2 2022 tempfile -rwxr-xr-x 1 root root 133224 Sep 20 2022 touch -rwxr-xr-x 1 root root 67548 Sep 20 2022 true -rwxr-xr-x 1 root root 9768 Mar 22 20:15 ulockmgr_server -rwsr-xr-x 1 root root 22108 Mar 22 21:02 umount -rwxr-xr-x 1 root root 67572 Sep 20 2022 uname -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress -rwxr-xr-x 1 root root 133632 Sep 20 2022 vdir -rwxr-xr-x 1 root root 42608 Mar 22 21:02 wdctl lrwxrwxrwx 1 root root 8 Dec 19 01:33 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 9 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 9 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew I: user script /srv/workspace/pbuilder/32074/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: armhf Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), cmake, xtl-dev, python3-breathe, python3-sphinx, python3-sphinx-rtd-theme, libgtest-dev dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19324 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on cmake; however: Package cmake is not installed. pbuilder-satisfydepends-dummy depends on xtl-dev; however: Package xtl-dev is not installed. pbuilder-satisfydepends-dummy depends on python3-breathe; however: Package python3-breathe is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx; however: Package python3-sphinx is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx-rtd-theme; however: Package python3-sphinx-rtd-theme is not installed. pbuilder-satisfydepends-dummy depends on libgtest-dev; however: Package libgtest-dev is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} ca-certificates{a} cmake{a} cmake-data{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} docutils-common{a} doxygen{a} dwz{a} file{a} fontconfig{a} fontconfig-config{a} fonts-dejavu-core{a} fonts-font-awesome{a} fonts-lato{a} gettext{a} gettext-base{a} googletest{a} graphviz{a} groff-base{a} intltool-debian{a} libabsl20220623{a} libaom3{a} libarchive-zip-perl{a} libarchive13{a} libavif15{a} libbrotli1{a} libbsd0{a} libcairo2{a} libcdt5{a} libcgraph6{a} libclang-cpp14{a} libclang1-14{a} libcurl4{a} libdatrie1{a} libdav1d6{a} libde265-0{a} libdebhelper-perl{a} libdeflate0{a} libedit2{a} libelf1{a} libexpat1{a} libfile-stripnondeterminism-perl{a} libfontconfig1{a} libfreetype6{a} libfribidi0{a} libgav1-1{a} libgd3{a} libglib2.0-0{a} libgraphite2-3{a} libgtest-dev{a} libgts-0.7-5{a} libgvc6{a} libgvpr2{a} libharfbuzz0b{a} libheif1{a} libice6{a} libicu72{a} libjbig0{a} libjpeg62-turbo{a} libjs-jquery{a} libjs-sphinxdoc{a} libjs-underscore{a} libjson-perl{a} libjsoncpp25{a} liblab-gamut1{a} libldap-2.5-0{a} liblerc4{a} libllvm14{a} libltdl7{a} libmagic-mgc{a} libmagic1{a} libnghttp2-14{a} libpango-1.0-0{a} libpangocairo-1.0-0{a} libpangoft2-1.0-0{a} libpathplan4{a} libpipeline1{a} libpixman-1-0{a} libpng16-16{a} libproc2-0{a} libpsl5{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} librav1e0{a} libreadline8{a} librhash0{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libsm6{a} libssh2-1{a} libsub-override-perl{a} libsvtav1enc1{a} libthai-data{a} libthai0{a} libtiff6{a} libtool{a} libuchardet0{a} libuv1{a} libwebp7{a} libx11-6{a} libx11-data{a} libx265-199{a} libxau6{a} libxaw7{a} libxcb-render0{a} libxcb-shm0{a} libxcb1{a} libxdmcp6{a} libxext6{a} libxml2{a} libxmu6{a} libxpm4{a} libxrender1{a} libxt6{a} libyuv0{a} libz3-4{a} m4{a} man-db{a} media-types{a} openssl{a} po-debconf{a} procps{a} python-babel-localedata{a} python3{a} python3-alabaster{a} python3-babel{a} python3-breathe{a} python3-certifi{a} python3-chardet{a} python3-charset-normalizer{a} python3-distutils{a} python3-docutils{a} python3-idna{a} python3-imagesize{a} python3-jinja2{a} python3-lib2to3{a} python3-markupsafe{a} python3-minimal{a} python3-packaging{a} python3-pkg-resources{a} python3-pygments{a} python3-requests{a} python3-roman{a} python3-six{a} python3-snowballstemmer{a} python3-sphinx{a} python3-sphinx-rtd-theme{a} python3-tz{a} python3-urllib3{a} python3.11{a} python3.11-minimal{a} readline-common{a} sensible-utils{a} sgml-base{a} sphinx-common{a} sphinx-rtd-theme-common{a} x11-common{a} xml-core{a} xtl-dev{a} The following packages are RECOMMENDED but will NOT be installed: curl fonts-liberation2 javascript-common libarchive-cpio-perl libglib2.0-data libgts-bin libjson-xs-perl libldap-common libltdl-dev libmail-sendmail-perl libpaper-utils libsasl2-modules lynx psmisc publicsuffix python3-pil shared-mime-info wget xdg-user-dirs 0 packages upgraded, 167 newly installed, 0 to remove and 0 not upgraded. Need to get 111 MB of archives. After unpacking 440 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main armhf fonts-lato all 2.0-2.1 [2696 kB] Get: 2 http://deb.debian.org/debian bookworm/main armhf libpython3.11-minimal armhf 3.11.2-6 [798 kB] Get: 3 http://deb.debian.org/debian bookworm/main armhf libexpat1 armhf 2.5.0-1 [79.9 kB] Get: 4 http://deb.debian.org/debian bookworm/main armhf python3.11-minimal armhf 3.11.2-6 [1714 kB] Get: 5 http://deb.debian.org/debian bookworm/main armhf python3-minimal armhf 3.11.2-1+b1 [26.3 kB] Get: 6 http://deb.debian.org/debian bookworm/main armhf media-types all 10.0.0 [26.1 kB] Get: 7 http://deb.debian.org/debian bookworm/main armhf readline-common all 8.2-1.3 [69.0 kB] Get: 8 http://deb.debian.org/debian bookworm/main armhf libreadline8 armhf 8.2-1.3 [144 kB] Get: 9 http://deb.debian.org/debian bookworm/main armhf libpython3.11-stdlib armhf 3.11.2-6 [1678 kB] Get: 10 http://deb.debian.org/debian bookworm/main armhf python3.11 armhf 3.11.2-6 [572 kB] Get: 11 http://deb.debian.org/debian bookworm/main armhf libpython3-stdlib armhf 3.11.2-1+b1 [9296 B] Get: 12 http://deb.debian.org/debian bookworm/main armhf python3 armhf 3.11.2-1+b1 [26.3 kB] Get: 13 http://deb.debian.org/debian bookworm/main armhf sgml-base all 1.31 [15.4 kB] Get: 14 http://deb.debian.org/debian bookworm/main armhf libproc2-0 armhf 2:4.0.2-3 [54.2 kB] Get: 15 http://deb.debian.org/debian bookworm/main armhf procps armhf 2:4.0.2-3 [695 kB] Get: 16 http://deb.debian.org/debian bookworm/main armhf sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 17 http://deb.debian.org/debian bookworm/main armhf openssl armhf 3.0.8-1 [1373 kB] Get: 18 http://deb.debian.org/debian bookworm/main armhf ca-certificates all 20230311 [153 kB] Get: 19 http://deb.debian.org/debian bookworm/main armhf libmagic-mgc armhf 1:5.44-3 [305 kB] Get: 20 http://deb.debian.org/debian bookworm/main armhf libmagic1 armhf 1:5.44-3 [96.5 kB] Get: 21 http://deb.debian.org/debian bookworm/main armhf file armhf 1:5.44-3 [41.6 kB] Get: 22 http://deb.debian.org/debian bookworm/main armhf gettext-base armhf 0.21-12 [157 kB] Get: 23 http://deb.debian.org/debian bookworm/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB] Get: 24 http://deb.debian.org/debian bookworm/main armhf groff-base armhf 1.22.4-10 [825 kB] Get: 25 http://deb.debian.org/debian bookworm/main armhf bsdextrautils armhf 2.38.1-5+b1 [78.6 kB] Get: 26 http://deb.debian.org/debian bookworm/main armhf libpipeline1 armhf 1.5.7-1 [33.6 kB] Get: 27 http://deb.debian.org/debian bookworm/main armhf man-db armhf 2.11.2-2 [1351 kB] Get: 28 http://deb.debian.org/debian bookworm/main armhf m4 armhf 1.4.19-3 [265 kB] Get: 29 http://deb.debian.org/debian bookworm/main armhf autoconf all 2.71-3 [332 kB] Get: 30 http://deb.debian.org/debian bookworm/main armhf autotools-dev all 20220109.1 [51.6 kB] Get: 31 http://deb.debian.org/debian bookworm/main armhf automake all 1:1.16.5-1.3 [823 kB] Get: 32 http://deb.debian.org/debian bookworm/main armhf autopoint all 0.21-12 [495 kB] Get: 33 http://deb.debian.org/debian bookworm/main armhf libicu72 armhf 72.1-3 [9048 kB] Get: 34 http://deb.debian.org/debian bookworm/main armhf libxml2 armhf 2.9.14+dfsg-1.2 [591 kB] Get: 35 http://deb.debian.org/debian bookworm/main armhf libarchive13 armhf 3.6.2-1 [299 kB] Get: 36 http://deb.debian.org/debian bookworm/main armhf libbrotli1 armhf 1.0.9-2+b6 [271 kB] Get: 37 http://deb.debian.org/debian bookworm/main armhf libsasl2-modules-db armhf 2.1.28+dfsg-10 [19.0 kB] Get: 38 http://deb.debian.org/debian bookworm/main armhf libsasl2-2 armhf 2.1.28+dfsg-10 [52.3 kB] Get: 39 http://deb.debian.org/debian bookworm/main armhf libldap-2.5-0 armhf 2.5.13+dfsg-5 [158 kB] Get: 40 http://deb.debian.org/debian bookworm/main armhf libnghttp2-14 armhf 1.52.0-1 [60.8 kB] Get: 41 http://deb.debian.org/debian bookworm/main armhf libpsl5 armhf 0.21.2-1 [57.5 kB] Get: 42 http://deb.debian.org/debian bookworm/main armhf librtmp1 armhf 2.4+20151223.gitfa8646d.1-2+b2 [55.2 kB] Get: 43 http://deb.debian.org/debian bookworm/main armhf libssh2-1 armhf 1.10.0-3+b1 [163 kB] Get: 44 http://deb.debian.org/debian bookworm/main armhf libcurl4 armhf 7.88.1-9 [347 kB] Get: 45 http://deb.debian.org/debian bookworm/main armhf libjsoncpp25 armhf 1.9.5-4 [68.6 kB] Get: 46 http://deb.debian.org/debian bookworm/main armhf librhash0 armhf 1.4.3-3 [146 kB] Get: 47 http://deb.debian.org/debian bookworm/main armhf libuv1 armhf 1.44.2-1 [126 kB] Get: 48 http://deb.debian.org/debian bookworm/main armhf cmake-data all 3.25.1-1 [2026 kB] Get: 49 http://deb.debian.org/debian bookworm/main armhf cmake armhf 3.25.1-1 [4263 kB] Get: 50 http://deb.debian.org/debian bookworm/main armhf libdebhelper-perl all 13.11.4 [81.2 kB] Get: 51 http://deb.debian.org/debian bookworm/main armhf libtool all 2.4.7-5 [517 kB] Get: 52 http://deb.debian.org/debian bookworm/main armhf dh-autoreconf all 20 [17.1 kB] Get: 53 http://deb.debian.org/debian bookworm/main armhf libarchive-zip-perl all 1.68-1 [104 kB] Get: 54 http://deb.debian.org/debian bookworm/main armhf libsub-override-perl all 0.09-4 [9304 B] Get: 55 http://deb.debian.org/debian bookworm/main armhf libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 56 http://deb.debian.org/debian bookworm/main armhf dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 57 http://deb.debian.org/debian bookworm/main armhf libelf1 armhf 0.188-2.1 [170 kB] Get: 58 http://deb.debian.org/debian bookworm/main armhf dwz armhf 0.15-1 [101 kB] Get: 59 http://deb.debian.org/debian bookworm/main armhf gettext armhf 0.21-12 [1229 kB] Get: 60 http://deb.debian.org/debian bookworm/main armhf intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 61 http://deb.debian.org/debian bookworm/main armhf po-debconf all 1.0.21+nmu1 [248 kB] Get: 62 http://deb.debian.org/debian bookworm/main armhf debhelper all 13.11.4 [942 kB] Get: 63 http://deb.debian.org/debian bookworm/main armhf xml-core all 0.18+nmu1 [23.8 kB] Get: 64 http://deb.debian.org/debian bookworm/main armhf docutils-common all 0.19+dfsg-6 [127 kB] Get: 65 http://deb.debian.org/debian bookworm/main armhf libbsd0 armhf 0.11.7-2 [113 kB] Get: 66 http://deb.debian.org/debian bookworm/main armhf libedit2 armhf 3.1-20221030-2 [77.0 kB] Get: 67 http://deb.debian.org/debian bookworm/main armhf libz3-4 armhf 4.8.12-3.1 [6061 kB] Get: 68 http://deb.debian.org/debian bookworm/main armhf libllvm14 armhf 1:14.0.6-12 [19.4 MB] Get: 69 http://deb.debian.org/debian bookworm/main armhf libclang-cpp14 armhf 1:14.0.6-12 [9417 kB] Get: 70 http://deb.debian.org/debian bookworm/main armhf libclang1-14 armhf 1:14.0.6-12 [5175 kB] Get: 71 http://deb.debian.org/debian bookworm/main armhf doxygen armhf 1.9.4-4 [4176 kB] Get: 72 http://deb.debian.org/debian bookworm/main armhf libpng16-16 armhf 1.6.39-2 [260 kB] Get: 73 http://deb.debian.org/debian bookworm/main armhf libfreetype6 armhf 2.12.1+dfsg-5 [332 kB] Get: 74 http://deb.debian.org/debian bookworm/main armhf fonts-dejavu-core all 2.37-6 [1068 kB] Get: 75 http://deb.debian.org/debian bookworm/main armhf fontconfig-config armhf 2.14.1-4 [315 kB] Get: 76 http://deb.debian.org/debian bookworm/main armhf libfontconfig1 armhf 2.14.1-4 [368 kB] Get: 77 http://deb.debian.org/debian bookworm/main armhf fontconfig armhf 2.14.1-4 [448 kB] Get: 78 http://deb.debian.org/debian bookworm/main armhf fonts-font-awesome all 5.0.10+really4.7.0~dfsg-4.1 [517 kB] Get: 79 http://deb.debian.org/debian bookworm/main armhf googletest all 1.12.1-0.2 [506 kB] Get: 80 http://deb.debian.org/debian bookworm/main armhf libcdt5 armhf 2.42.2-7+b3 [37.5 kB] Get: 81 http://deb.debian.org/debian bookworm/main armhf libcgraph6 armhf 2.42.2-7+b3 [56.1 kB] Get: 82 http://deb.debian.org/debian bookworm/main armhf libaom3 armhf 3.6.0-1 [1369 kB] Get: 83 http://deb.debian.org/debian bookworm/main armhf libdav1d6 armhf 1.0.0-2 [256 kB] Get: 84 http://deb.debian.org/debian bookworm/main armhf libabsl20220623 armhf 20220623.1-1 [365 kB] Get: 85 http://deb.debian.org/debian bookworm/main armhf libgav1-1 armhf 0.18.0-1+b1 [284 kB] Get: 86 http://deb.debian.org/debian bookworm/main armhf librav1e0 armhf 0.5.1-6 [514 kB] Get: 87 http://deb.debian.org/debian bookworm/main armhf libsvtav1enc1 armhf 1.4.1+dfsg-1 [893 kB] Get: 88 http://deb.debian.org/debian bookworm/main armhf libjpeg62-turbo armhf 1:2.1.5-2 [143 kB] Get: 89 http://deb.debian.org/debian bookworm/main armhf libyuv0 armhf 0.0~git20230123.b2528b0-1 [85.5 kB] Get: 90 http://deb.debian.org/debian bookworm/main armhf libavif15 armhf 0.11.1-1 [84.8 kB] Get: 91 http://deb.debian.org/debian bookworm/main armhf libde265-0 armhf 1.0.11-1 [143 kB] Get: 92 http://deb.debian.org/debian bookworm/main armhf libx265-199 armhf 3.5-2+b1 [580 kB] Get: 93 http://deb.debian.org/debian bookworm/main armhf libheif1 armhf 1.15.1-1 [183 kB] Get: 94 http://deb.debian.org/debian bookworm/main armhf libdeflate0 armhf 1.14-1 [52.2 kB] Get: 95 http://deb.debian.org/debian bookworm/main armhf libjbig0 armhf 2.1-6.1 [27.1 kB] Get: 96 http://deb.debian.org/debian bookworm/main armhf liblerc4 armhf 4.0.0+ds-2 [137 kB] Get: 97 http://deb.debian.org/debian bookworm/main armhf libwebp7 armhf 1.2.4-0.2 [242 kB] Get: 98 http://deb.debian.org/debian bookworm/main armhf libtiff6 armhf 4.5.0-6 [295 kB] Get: 99 http://deb.debian.org/debian bookworm/main armhf libxau6 armhf 1:1.0.9-1 [19.0 kB] Get: 100 http://deb.debian.org/debian bookworm/main armhf libxdmcp6 armhf 1:1.1.2-3 [24.9 kB] Get: 101 http://deb.debian.org/debian bookworm/main armhf libxcb1 armhf 1.15-1 [140 kB] Get: 102 http://deb.debian.org/debian bookworm/main armhf libx11-data all 2:1.8.4-2 [292 kB] Get: 103 http://deb.debian.org/debian bookworm/main armhf libx11-6 armhf 2:1.8.4-2 [695 kB] Get: 104 http://deb.debian.org/debian bookworm/main armhf libxpm4 armhf 1:3.5.12-1.1 [42.3 kB] Get: 105 http://deb.debian.org/debian bookworm/main armhf libgd3 armhf 2.3.3-9 [103 kB] Get: 106 http://deb.debian.org/debian bookworm/main armhf libglib2.0-0 armhf 2.74.6-2 [1227 kB] Get: 107 http://deb.debian.org/debian bookworm/main armhf libgts-0.7-5 armhf 0.7.6+darcs121130-5+b1 [137 kB] Get: 108 http://deb.debian.org/debian bookworm/main armhf libpixman-1-0 armhf 0.42.2-1 [465 kB] Get: 109 http://deb.debian.org/debian bookworm/main armhf libxcb-render0 armhf 1.15-1 [114 kB] Get: 110 http://deb.debian.org/debian bookworm/main armhf libxcb-shm0 armhf 1.15-1 [106 kB] Get: 111 http://deb.debian.org/debian bookworm/main armhf libxext6 armhf 2:1.3.4-1+b1 [47.8 kB] Get: 112 http://deb.debian.org/debian bookworm/main armhf libxrender1 armhf 1:0.9.10-1.1 [30.1 kB] Get: 113 http://deb.debian.org/debian bookworm/main armhf libcairo2 armhf 1.16.0-7 [493 kB] Get: 114 http://deb.debian.org/debian bookworm/main armhf libltdl7 armhf 2.4.7-5 [390 kB] Get: 115 http://deb.debian.org/debian bookworm/main armhf libfribidi0 armhf 1.0.8-2.1 [63.1 kB] Get: 116 http://deb.debian.org/debian bookworm/main armhf libgraphite2-3 armhf 1.3.14-1 [70.5 kB] Get: 117 http://deb.debian.org/debian bookworm/main armhf libharfbuzz0b armhf 6.0.0+dfsg-3 [1893 kB] Get: 118 http://deb.debian.org/debian bookworm/main armhf libthai-data all 0.1.29-1 [176 kB] Get: 119 http://deb.debian.org/debian bookworm/main armhf libdatrie1 armhf 0.2.13-2+b1 [39.9 kB] Get: 120 http://deb.debian.org/debian bookworm/main armhf libthai0 armhf 0.1.29-1 [54.3 kB] Get: 121 http://deb.debian.org/debian bookworm/main armhf libpango-1.0-0 armhf 1.50.12+ds-1 [188 kB] Get: 122 http://deb.debian.org/debian bookworm/main armhf libpangoft2-1.0-0 armhf 1.50.12+ds-1 [40.9 kB] Get: 123 http://deb.debian.org/debian bookworm/main armhf libpangocairo-1.0-0 armhf 1.50.12+ds-1 [30.3 kB] Get: 124 http://deb.debian.org/debian bookworm/main armhf libpathplan4 armhf 2.42.2-7+b3 [39.5 kB] Get: 125 http://deb.debian.org/debian bookworm/main armhf libgvc6 armhf 2.42.2-7+b3 [579 kB] Get: 126 http://deb.debian.org/debian bookworm/main armhf libgvpr2 armhf 2.42.2-7+b3 [165 kB] Get: 127 http://deb.debian.org/debian bookworm/main armhf liblab-gamut1 armhf 2.42.2-7+b3 [198 kB] Get: 128 http://deb.debian.org/debian bookworm/main armhf x11-common all 1:7.7+23 [252 kB] Get: 129 http://deb.debian.org/debian bookworm/main armhf libice6 armhf 2:1.0.10-1 [51.9 kB] Get: 130 http://deb.debian.org/debian bookworm/main armhf libsm6 armhf 2:1.2.3-1 [33.0 kB] Get: 131 http://deb.debian.org/debian bookworm/main armhf libxt6 armhf 1:1.2.1-1.1 [157 kB] Get: 132 http://deb.debian.org/debian bookworm/main armhf libxmu6 armhf 2:1.1.3-3 [52.6 kB] Get: 133 http://deb.debian.org/debian bookworm/main armhf libxaw7 armhf 2:1.0.14-1 [167 kB] Get: 134 http://deb.debian.org/debian bookworm/main armhf graphviz armhf 2.42.2-7+b3 [498 kB] Get: 135 http://deb.debian.org/debian bookworm/main armhf libgtest-dev armhf 1.12.1-0.2 [232 kB] Get: 136 http://deb.debian.org/debian bookworm/main armhf libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [326 kB] Get: 137 http://deb.debian.org/debian bookworm/main armhf libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [116 kB] Get: 138 http://deb.debian.org/debian bookworm/main armhf libjs-sphinxdoc all 5.3.0-4 [130 kB] Get: 139 http://deb.debian.org/debian bookworm/main armhf libjson-perl all 4.10000-1 [87.5 kB] Get: 140 http://deb.debian.org/debian bookworm/main armhf python-babel-localedata all 2.10.3-1 [5615 kB] Get: 141 http://deb.debian.org/debian bookworm/main armhf python3-alabaster all 0.7.12-1 [20.8 kB] Get: 142 http://deb.debian.org/debian bookworm/main armhf python3-pkg-resources all 66.1.1-1 [296 kB] Get: 143 http://deb.debian.org/debian bookworm/main armhf python3-tz all 2022.7.1-4 [30.1 kB] Get: 144 http://deb.debian.org/debian bookworm/main armhf python3-babel all 2.10.3-1 [103 kB] Get: 145 http://deb.debian.org/debian bookworm/main armhf python3-roman all 3.3-3 [9880 B] Get: 146 http://deb.debian.org/debian bookworm/main armhf python3-docutils all 0.19+dfsg-6 [382 kB] Get: 147 http://deb.debian.org/debian bookworm/main armhf python3-lib2to3 all 3.11.2-3 [76.3 kB] Get: 148 http://deb.debian.org/debian bookworm/main armhf python3-distutils all 3.11.2-3 [131 kB] Get: 149 http://deb.debian.org/debian bookworm/main armhf sphinx-common all 5.3.0-4 [653 kB] Get: 150 http://deb.debian.org/debian bookworm/main armhf python3-imagesize all 1.4.1-1 [6688 B] Get: 151 http://deb.debian.org/debian bookworm/main armhf python3-markupsafe armhf 2.1.2-1+b1 [12.8 kB] Get: 152 http://deb.debian.org/debian bookworm/main armhf python3-jinja2 all 3.1.2-1 [119 kB] Get: 153 http://deb.debian.org/debian bookworm/main armhf python3-packaging all 23.0-1 [32.5 kB] Get: 154 http://deb.debian.org/debian bookworm/main armhf python3-pygments all 2.14.0+dfsg-1 [783 kB] Get: 155 http://deb.debian.org/debian bookworm/main armhf python3-certifi all 2022.9.24-1 [153 kB] Get: 156 http://deb.debian.org/debian bookworm/main armhf python3-charset-normalizer all 3.0.1-2 [49.3 kB] Get: 157 http://deb.debian.org/debian bookworm/main armhf python3-idna all 3.3-1 [39.4 kB] Get: 158 http://deb.debian.org/debian bookworm/main armhf python3-six all 1.16.0-4 [17.5 kB] Get: 159 http://deb.debian.org/debian bookworm/main armhf python3-urllib3 all 1.26.12-1 [117 kB] Get: 160 http://deb.debian.org/debian bookworm/main armhf python3-chardet all 5.1.0+dfsg-2 [110 kB] Get: 161 http://deb.debian.org/debian bookworm/main armhf python3-requests all 2.28.1+dfsg-1 [67.9 kB] Get: 162 http://deb.debian.org/debian bookworm/main armhf python3-snowballstemmer all 2.2.0-2 [57.8 kB] Get: 163 http://deb.debian.org/debian bookworm/main armhf python3-sphinx all 5.3.0-4 [549 kB] Get: 164 http://deb.debian.org/debian bookworm/main armhf python3-breathe all 4.34.0-1 [79.2 kB] Get: 165 http://deb.debian.org/debian bookworm/main armhf sphinx-rtd-theme-common all 1.2.0+dfsg-1 [997 kB] Get: 166 http://deb.debian.org/debian bookworm/main armhf python3-sphinx-rtd-theme all 1.2.0+dfsg-1 [27.7 kB] Get: 167 http://deb.debian.org/debian bookworm/main armhf xtl-dev all 0.7.2-2.1 [89.5 kB] Fetched 111 MB in 10s (11.4 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package fonts-lato. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19324 files and directories currently installed.) Preparing to unpack .../fonts-lato_2.0-2.1_all.deb ... Unpacking fonts-lato (2.0-2.1) ... Selecting previously unselected package libpython3.11-minimal:armhf. Preparing to unpack .../libpython3.11-minimal_3.11.2-6_armhf.deb ... Unpacking libpython3.11-minimal:armhf (3.11.2-6) ... Selecting previously unselected package libexpat1:armhf. Preparing to unpack .../libexpat1_2.5.0-1_armhf.deb ... Unpacking libexpat1:armhf (2.5.0-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../python3.11-minimal_3.11.2-6_armhf.deb ... Unpacking python3.11-minimal (3.11.2-6) ... Setting up libpython3.11-minimal:armhf (3.11.2-6) ... Setting up libexpat1:armhf (2.5.0-1) ... Setting up python3.11-minimal (3.11.2-6) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19666 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.11.2-1+b1_armhf.deb ... Unpacking python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package readline-common. Preparing to unpack .../2-readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package libreadline8:armhf. Preparing to unpack .../3-libreadline8_8.2-1.3_armhf.deb ... Unpacking libreadline8:armhf (8.2-1.3) ... Selecting previously unselected package libpython3.11-stdlib:armhf. Preparing to unpack .../4-libpython3.11-stdlib_3.11.2-6_armhf.deb ... Unpacking libpython3.11-stdlib:armhf (3.11.2-6) ... Selecting previously unselected package python3.11. Preparing to unpack .../5-python3.11_3.11.2-6_armhf.deb ... Unpacking python3.11 (3.11.2-6) ... Selecting previously unselected package libpython3-stdlib:armhf. Preparing to unpack .../6-libpython3-stdlib_3.11.2-1+b1_armhf.deb ... Unpacking libpython3-stdlib:armhf (3.11.2-1+b1) ... Setting up python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20100 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.2-1+b1_armhf.deb ... Unpacking python3 (3.11.2-1+b1) ... Selecting previously unselected package sgml-base. Preparing to unpack .../001-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package libproc2-0:armhf. Preparing to unpack .../002-libproc2-0_2%3a4.0.2-3_armhf.deb ... Unpacking libproc2-0:armhf (2:4.0.2-3) ... Selecting previously unselected package procps. Preparing to unpack .../003-procps_2%3a4.0.2-3_armhf.deb ... Unpacking procps (2:4.0.2-3) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../004-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package openssl. Preparing to unpack .../005-openssl_3.0.8-1_armhf.deb ... Unpacking openssl (3.0.8-1) ... Selecting previously unselected package ca-certificates. Preparing to unpack .../006-ca-certificates_20230311_all.deb ... Unpacking ca-certificates (20230311) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../007-libmagic-mgc_1%3a5.44-3_armhf.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:armhf. Preparing to unpack .../008-libmagic1_1%3a5.44-3_armhf.deb ... Unpacking libmagic1:armhf (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../009-file_1%3a5.44-3_armhf.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../010-gettext-base_0.21-12_armhf.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:armhf. Preparing to unpack .../011-libuchardet0_0.0.7-1_armhf.deb ... Unpacking libuchardet0:armhf (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../012-groff-base_1.22.4-10_armhf.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../013-bsdextrautils_2.38.1-5+b1_armhf.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:armhf. Preparing to unpack .../014-libpipeline1_1.5.7-1_armhf.deb ... Unpacking libpipeline1:armhf (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../015-man-db_2.11.2-2_armhf.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package m4. Preparing to unpack .../016-m4_1.4.19-3_armhf.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package autoconf. Preparing to unpack .../017-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../018-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../019-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../020-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package libicu72:armhf. Preparing to unpack .../021-libicu72_72.1-3_armhf.deb ... Unpacking libicu72:armhf (72.1-3) ... Selecting previously unselected package libxml2:armhf. Preparing to unpack .../022-libxml2_2.9.14+dfsg-1.2_armhf.deb ... Unpacking libxml2:armhf (2.9.14+dfsg-1.2) ... Selecting previously unselected package libarchive13:armhf. Preparing to unpack .../023-libarchive13_3.6.2-1_armhf.deb ... Unpacking libarchive13:armhf (3.6.2-1) ... Selecting previously unselected package libbrotli1:armhf. Preparing to unpack .../024-libbrotli1_1.0.9-2+b6_armhf.deb ... Unpacking libbrotli1:armhf (1.0.9-2+b6) ... Selecting previously unselected package libsasl2-modules-db:armhf. Preparing to unpack .../025-libsasl2-modules-db_2.1.28+dfsg-10_armhf.deb ... Unpacking libsasl2-modules-db:armhf (2.1.28+dfsg-10) ... Selecting previously unselected package libsasl2-2:armhf. Preparing to unpack .../026-libsasl2-2_2.1.28+dfsg-10_armhf.deb ... Unpacking libsasl2-2:armhf (2.1.28+dfsg-10) ... Selecting previously unselected package libldap-2.5-0:armhf. Preparing to unpack .../027-libldap-2.5-0_2.5.13+dfsg-5_armhf.deb ... Unpacking libldap-2.5-0:armhf (2.5.13+dfsg-5) ... Selecting previously unselected package libnghttp2-14:armhf. Preparing to unpack .../028-libnghttp2-14_1.52.0-1_armhf.deb ... Unpacking libnghttp2-14:armhf (1.52.0-1) ... Selecting previously unselected package libpsl5:armhf. Preparing to unpack .../029-libpsl5_0.21.2-1_armhf.deb ... Unpacking libpsl5:armhf (0.21.2-1) ... Selecting previously unselected package librtmp1:armhf. Preparing to unpack .../030-librtmp1_2.4+20151223.gitfa8646d.1-2+b2_armhf.deb ... Unpacking librtmp1:armhf (2.4+20151223.gitfa8646d.1-2+b2) ... Selecting previously unselected package libssh2-1:armhf. Preparing to unpack .../031-libssh2-1_1.10.0-3+b1_armhf.deb ... Unpacking libssh2-1:armhf (1.10.0-3+b1) ... Selecting previously unselected package libcurl4:armhf. Preparing to unpack .../032-libcurl4_7.88.1-9_armhf.deb ... Unpacking libcurl4:armhf (7.88.1-9) ... Selecting previously unselected package libjsoncpp25:armhf. Preparing to unpack .../033-libjsoncpp25_1.9.5-4_armhf.deb ... Unpacking libjsoncpp25:armhf (1.9.5-4) ... Selecting previously unselected package librhash0:armhf. Preparing to unpack .../034-librhash0_1.4.3-3_armhf.deb ... Unpacking librhash0:armhf (1.4.3-3) ... Selecting previously unselected package libuv1:armhf. Preparing to unpack .../035-libuv1_1.44.2-1_armhf.deb ... Unpacking libuv1:armhf (1.44.2-1) ... Selecting previously unselected package cmake-data. Preparing to unpack .../036-cmake-data_3.25.1-1_all.deb ... Unpacking cmake-data (3.25.1-1) ... Selecting previously unselected package cmake. Preparing to unpack .../037-cmake_3.25.1-1_armhf.deb ... Unpacking cmake (3.25.1-1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../038-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../039-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../040-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../041-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../042-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../043-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../044-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:armhf. Preparing to unpack .../045-libelf1_0.188-2.1_armhf.deb ... Unpacking libelf1:armhf (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../046-dwz_0.15-1_armhf.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package gettext. Preparing to unpack .../047-gettext_0.21-12_armhf.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../048-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../049-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../050-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package xml-core. Preparing to unpack .../051-xml-core_0.18+nmu1_all.deb ... Unpacking xml-core (0.18+nmu1) ... Selecting previously unselected package docutils-common. Preparing to unpack .../052-docutils-common_0.19+dfsg-6_all.deb ... Unpacking docutils-common (0.19+dfsg-6) ... Selecting previously unselected package libbsd0:armhf. Preparing to unpack .../053-libbsd0_0.11.7-2_armhf.deb ... Unpacking libbsd0:armhf (0.11.7-2) ... Selecting previously unselected package libedit2:armhf. Preparing to unpack .../054-libedit2_3.1-20221030-2_armhf.deb ... Unpacking libedit2:armhf (3.1-20221030-2) ... Selecting previously unselected package libz3-4:armhf. Preparing to unpack .../055-libz3-4_4.8.12-3.1_armhf.deb ... Unpacking libz3-4:armhf (4.8.12-3.1) ... Selecting previously unselected package libllvm14:armhf. Preparing to unpack .../056-libllvm14_1%3a14.0.6-12_armhf.deb ... Unpacking libllvm14:armhf (1:14.0.6-12) ... Selecting previously unselected package libclang-cpp14. Preparing to unpack .../057-libclang-cpp14_1%3a14.0.6-12_armhf.deb ... Unpacking libclang-cpp14 (1:14.0.6-12) ... Selecting previously unselected package libclang1-14. Preparing to unpack .../058-libclang1-14_1%3a14.0.6-12_armhf.deb ... Unpacking libclang1-14 (1:14.0.6-12) ... Selecting previously unselected package doxygen. Preparing to unpack .../059-doxygen_1.9.4-4_armhf.deb ... Unpacking doxygen (1.9.4-4) ... Selecting previously unselected package libpng16-16:armhf. Preparing to unpack .../060-libpng16-16_1.6.39-2_armhf.deb ... Unpacking libpng16-16:armhf (1.6.39-2) ... Selecting previously unselected package libfreetype6:armhf. Preparing to unpack .../061-libfreetype6_2.12.1+dfsg-5_armhf.deb ... Unpacking libfreetype6:armhf (2.12.1+dfsg-5) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../062-fonts-dejavu-core_2.37-6_all.deb ... Unpacking fonts-dejavu-core (2.37-6) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../063-fontconfig-config_2.14.1-4_armhf.deb ... Unpacking fontconfig-config (2.14.1-4) ... Selecting previously unselected package libfontconfig1:armhf. Preparing to unpack .../064-libfontconfig1_2.14.1-4_armhf.deb ... Unpacking libfontconfig1:armhf (2.14.1-4) ... Selecting previously unselected package fontconfig. Preparing to unpack .../065-fontconfig_2.14.1-4_armhf.deb ... Unpacking fontconfig (2.14.1-4) ... Selecting previously unselected package fonts-font-awesome. Preparing to unpack .../066-fonts-font-awesome_5.0.10+really4.7.0~dfsg-4.1_all.deb ... Unpacking fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Selecting previously unselected package googletest. Preparing to unpack .../067-googletest_1.12.1-0.2_all.deb ... Unpacking googletest (1.12.1-0.2) ... Selecting previously unselected package libcdt5:armhf. Preparing to unpack .../068-libcdt5_2.42.2-7+b3_armhf.deb ... Unpacking libcdt5:armhf (2.42.2-7+b3) ... Selecting previously unselected package libcgraph6:armhf. Preparing to unpack .../069-libcgraph6_2.42.2-7+b3_armhf.deb ... Unpacking libcgraph6:armhf (2.42.2-7+b3) ... Selecting previously unselected package libaom3:armhf. Preparing to unpack .../070-libaom3_3.6.0-1_armhf.deb ... Unpacking libaom3:armhf (3.6.0-1) ... Selecting previously unselected package libdav1d6:armhf. Preparing to unpack .../071-libdav1d6_1.0.0-2_armhf.deb ... Unpacking libdav1d6:armhf (1.0.0-2) ... Selecting previously unselected package libabsl20220623:armhf. Preparing to unpack .../072-libabsl20220623_20220623.1-1_armhf.deb ... Unpacking libabsl20220623:armhf (20220623.1-1) ... Selecting previously unselected package libgav1-1:armhf. Preparing to unpack .../073-libgav1-1_0.18.0-1+b1_armhf.deb ... Unpacking libgav1-1:armhf (0.18.0-1+b1) ... Selecting previously unselected package librav1e0:armhf. Preparing to unpack .../074-librav1e0_0.5.1-6_armhf.deb ... Unpacking librav1e0:armhf (0.5.1-6) ... Selecting previously unselected package libsvtav1enc1:armhf. Preparing to unpack .../075-libsvtav1enc1_1.4.1+dfsg-1_armhf.deb ... Unpacking libsvtav1enc1:armhf (1.4.1+dfsg-1) ... Selecting previously unselected package libjpeg62-turbo:armhf. Preparing to unpack .../076-libjpeg62-turbo_1%3a2.1.5-2_armhf.deb ... Unpacking libjpeg62-turbo:armhf (1:2.1.5-2) ... Selecting previously unselected package libyuv0:armhf. Preparing to unpack .../077-libyuv0_0.0~git20230123.b2528b0-1_armhf.deb ... Unpacking libyuv0:armhf (0.0~git20230123.b2528b0-1) ... Selecting previously unselected package libavif15:armhf. Preparing to unpack .../078-libavif15_0.11.1-1_armhf.deb ... Unpacking libavif15:armhf (0.11.1-1) ... Selecting previously unselected package libde265-0:armhf. Preparing to unpack .../079-libde265-0_1.0.11-1_armhf.deb ... Unpacking libde265-0:armhf (1.0.11-1) ... Selecting previously unselected package libx265-199:armhf. Preparing to unpack .../080-libx265-199_3.5-2+b1_armhf.deb ... Unpacking libx265-199:armhf (3.5-2+b1) ... Selecting previously unselected package libheif1:armhf. Preparing to unpack .../081-libheif1_1.15.1-1_armhf.deb ... Unpacking libheif1:armhf (1.15.1-1) ... Selecting previously unselected package libdeflate0:armhf. Preparing to unpack .../082-libdeflate0_1.14-1_armhf.deb ... Unpacking libdeflate0:armhf (1.14-1) ... Selecting previously unselected package libjbig0:armhf. Preparing to unpack .../083-libjbig0_2.1-6.1_armhf.deb ... Unpacking libjbig0:armhf (2.1-6.1) ... Selecting previously unselected package liblerc4:armhf. Preparing to unpack .../084-liblerc4_4.0.0+ds-2_armhf.deb ... Unpacking liblerc4:armhf (4.0.0+ds-2) ... Selecting previously unselected package libwebp7:armhf. Preparing to unpack .../085-libwebp7_1.2.4-0.2_armhf.deb ... Unpacking libwebp7:armhf (1.2.4-0.2) ... Selecting previously unselected package libtiff6:armhf. Preparing to unpack .../086-libtiff6_4.5.0-6_armhf.deb ... Unpacking libtiff6:armhf (4.5.0-6) ... Selecting previously unselected package libxau6:armhf. Preparing to unpack .../087-libxau6_1%3a1.0.9-1_armhf.deb ... Unpacking libxau6:armhf (1:1.0.9-1) ... Selecting previously unselected package libxdmcp6:armhf. Preparing to unpack .../088-libxdmcp6_1%3a1.1.2-3_armhf.deb ... Unpacking libxdmcp6:armhf (1:1.1.2-3) ... Selecting previously unselected package libxcb1:armhf. Preparing to unpack .../089-libxcb1_1.15-1_armhf.deb ... Unpacking libxcb1:armhf (1.15-1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../090-libx11-data_2%3a1.8.4-2_all.deb ... Unpacking libx11-data (2:1.8.4-2) ... Selecting previously unselected package libx11-6:armhf. Preparing to unpack .../091-libx11-6_2%3a1.8.4-2_armhf.deb ... Unpacking libx11-6:armhf (2:1.8.4-2) ... Selecting previously unselected package libxpm4:armhf. Preparing to unpack .../092-libxpm4_1%3a3.5.12-1.1_armhf.deb ... Unpacking libxpm4:armhf (1:3.5.12-1.1) ... Selecting previously unselected package libgd3:armhf. Preparing to unpack .../093-libgd3_2.3.3-9_armhf.deb ... Unpacking libgd3:armhf (2.3.3-9) ... Selecting previously unselected package libglib2.0-0:armhf. Preparing to unpack .../094-libglib2.0-0_2.74.6-2_armhf.deb ... Unpacking libglib2.0-0:armhf (2.74.6-2) ... Selecting previously unselected package libgts-0.7-5:armhf. Preparing to unpack .../095-libgts-0.7-5_0.7.6+darcs121130-5+b1_armhf.deb ... Unpacking libgts-0.7-5:armhf (0.7.6+darcs121130-5+b1) ... Selecting previously unselected package libpixman-1-0:armhf. Preparing to unpack .../096-libpixman-1-0_0.42.2-1_armhf.deb ... Unpacking libpixman-1-0:armhf (0.42.2-1) ... Selecting previously unselected package libxcb-render0:armhf. Preparing to unpack .../097-libxcb-render0_1.15-1_armhf.deb ... Unpacking libxcb-render0:armhf (1.15-1) ... Selecting previously unselected package libxcb-shm0:armhf. Preparing to unpack .../098-libxcb-shm0_1.15-1_armhf.deb ... Unpacking libxcb-shm0:armhf (1.15-1) ... Selecting previously unselected package libxext6:armhf. Preparing to unpack .../099-libxext6_2%3a1.3.4-1+b1_armhf.deb ... Unpacking libxext6:armhf (2:1.3.4-1+b1) ... Selecting previously unselected package libxrender1:armhf. Preparing to unpack .../100-libxrender1_1%3a0.9.10-1.1_armhf.deb ... Unpacking libxrender1:armhf (1:0.9.10-1.1) ... Selecting previously unselected package libcairo2:armhf. Preparing to unpack .../101-libcairo2_1.16.0-7_armhf.deb ... Unpacking libcairo2:armhf (1.16.0-7) ... Selecting previously unselected package libltdl7:armhf. Preparing to unpack .../102-libltdl7_2.4.7-5_armhf.deb ... Unpacking libltdl7:armhf (2.4.7-5) ... Selecting previously unselected package libfribidi0:armhf. Preparing to unpack .../103-libfribidi0_1.0.8-2.1_armhf.deb ... Unpacking libfribidi0:armhf (1.0.8-2.1) ... Selecting previously unselected package libgraphite2-3:armhf. Preparing to unpack .../104-libgraphite2-3_1.3.14-1_armhf.deb ... Unpacking libgraphite2-3:armhf (1.3.14-1) ... Selecting previously unselected package libharfbuzz0b:armhf. Preparing to unpack .../105-libharfbuzz0b_6.0.0+dfsg-3_armhf.deb ... Unpacking libharfbuzz0b:armhf (6.0.0+dfsg-3) ... Selecting previously unselected package libthai-data. Preparing to unpack .../106-libthai-data_0.1.29-1_all.deb ... Unpacking libthai-data (0.1.29-1) ... Selecting previously unselected package libdatrie1:armhf. Preparing to unpack .../107-libdatrie1_0.2.13-2+b1_armhf.deb ... Unpacking libdatrie1:armhf (0.2.13-2+b1) ... Selecting previously unselected package libthai0:armhf. Preparing to unpack .../108-libthai0_0.1.29-1_armhf.deb ... Unpacking libthai0:armhf (0.1.29-1) ... Selecting previously unselected package libpango-1.0-0:armhf. Preparing to unpack .../109-libpango-1.0-0_1.50.12+ds-1_armhf.deb ... Unpacking libpango-1.0-0:armhf (1.50.12+ds-1) ... Selecting previously unselected package libpangoft2-1.0-0:armhf. Preparing to unpack .../110-libpangoft2-1.0-0_1.50.12+ds-1_armhf.deb ... Unpacking libpangoft2-1.0-0:armhf (1.50.12+ds-1) ... Selecting previously unselected package libpangocairo-1.0-0:armhf. Preparing to unpack .../111-libpangocairo-1.0-0_1.50.12+ds-1_armhf.deb ... Unpacking libpangocairo-1.0-0:armhf (1.50.12+ds-1) ... Selecting previously unselected package libpathplan4:armhf. Preparing to unpack .../112-libpathplan4_2.42.2-7+b3_armhf.deb ... Unpacking libpathplan4:armhf (2.42.2-7+b3) ... Selecting previously unselected package libgvc6. Preparing to unpack .../113-libgvc6_2.42.2-7+b3_armhf.deb ... Unpacking libgvc6 (2.42.2-7+b3) ... Selecting previously unselected package libgvpr2:armhf. Preparing to unpack .../114-libgvpr2_2.42.2-7+b3_armhf.deb ... Unpacking libgvpr2:armhf (2.42.2-7+b3) ... Selecting previously unselected package liblab-gamut1:armhf. Preparing to unpack .../115-liblab-gamut1_2.42.2-7+b3_armhf.deb ... Unpacking liblab-gamut1:armhf (2.42.2-7+b3) ... Selecting previously unselected package x11-common. Preparing to unpack .../116-x11-common_1%3a7.7+23_all.deb ... Unpacking x11-common (1:7.7+23) ... Selecting previously unselected package libice6:armhf. Preparing to unpack .../117-libice6_2%3a1.0.10-1_armhf.deb ... Unpacking libice6:armhf (2:1.0.10-1) ... Selecting previously unselected package libsm6:armhf. Preparing to unpack .../118-libsm6_2%3a1.2.3-1_armhf.deb ... Unpacking libsm6:armhf (2:1.2.3-1) ... Selecting previously unselected package libxt6:armhf. Preparing to unpack .../119-libxt6_1%3a1.2.1-1.1_armhf.deb ... Unpacking libxt6:armhf (1:1.2.1-1.1) ... Selecting previously unselected package libxmu6:armhf. Preparing to unpack .../120-libxmu6_2%3a1.1.3-3_armhf.deb ... Unpacking libxmu6:armhf (2:1.1.3-3) ... Selecting previously unselected package libxaw7:armhf. Preparing to unpack .../121-libxaw7_2%3a1.0.14-1_armhf.deb ... Unpacking libxaw7:armhf (2:1.0.14-1) ... Selecting previously unselected package graphviz. Preparing to unpack .../122-graphviz_2.42.2-7+b3_armhf.deb ... Unpacking graphviz (2.42.2-7+b3) ... Selecting previously unselected package libgtest-dev:armhf. Preparing to unpack .../123-libgtest-dev_1.12.1-0.2_armhf.deb ... Unpacking libgtest-dev:armhf (1.12.1-0.2) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../124-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../125-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../126-libjs-sphinxdoc_5.3.0-4_all.deb ... Unpacking libjs-sphinxdoc (5.3.0-4) ... Selecting previously unselected package libjson-perl. Preparing to unpack .../127-libjson-perl_4.10000-1_all.deb ... Unpacking libjson-perl (4.10000-1) ... Selecting previously unselected package python-babel-localedata. Preparing to unpack .../128-python-babel-localedata_2.10.3-1_all.deb ... Unpacking python-babel-localedata (2.10.3-1) ... Selecting previously unselected package python3-alabaster. Preparing to unpack .../129-python3-alabaster_0.7.12-1_all.deb ... Unpacking python3-alabaster (0.7.12-1) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../130-python3-pkg-resources_66.1.1-1_all.deb ... Unpacking python3-pkg-resources (66.1.1-1) ... Selecting previously unselected package python3-tz. Preparing to unpack .../131-python3-tz_2022.7.1-4_all.deb ... Unpacking python3-tz (2022.7.1-4) ... Selecting previously unselected package python3-babel. Preparing to unpack .../132-python3-babel_2.10.3-1_all.deb ... Unpacking python3-babel (2.10.3-1) ... Selecting previously unselected package python3-roman. Preparing to unpack .../133-python3-roman_3.3-3_all.deb ... Unpacking python3-roman (3.3-3) ... Selecting previously unselected package python3-docutils. Preparing to unpack .../134-python3-docutils_0.19+dfsg-6_all.deb ... Unpacking python3-docutils (0.19+dfsg-6) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../135-python3-lib2to3_3.11.2-3_all.deb ... Unpacking python3-lib2to3 (3.11.2-3) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../136-python3-distutils_3.11.2-3_all.deb ... Unpacking python3-distutils (3.11.2-3) ... Selecting previously unselected package sphinx-common. Preparing to unpack .../137-sphinx-common_5.3.0-4_all.deb ... Unpacking sphinx-common (5.3.0-4) ... Selecting previously unselected package python3-imagesize. Preparing to unpack .../138-python3-imagesize_1.4.1-1_all.deb ... Unpacking python3-imagesize (1.4.1-1) ... Selecting previously unselected package python3-markupsafe. Preparing to unpack .../139-python3-markupsafe_2.1.2-1+b1_armhf.deb ... Unpacking python3-markupsafe (2.1.2-1+b1) ... Selecting previously unselected package python3-jinja2. Preparing to unpack .../140-python3-jinja2_3.1.2-1_all.deb ... Unpacking python3-jinja2 (3.1.2-1) ... Selecting previously unselected package python3-packaging. Preparing to unpack .../141-python3-packaging_23.0-1_all.deb ... Unpacking python3-packaging (23.0-1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../142-python3-pygments_2.14.0+dfsg-1_all.deb ... Unpacking python3-pygments (2.14.0+dfsg-1) ... Selecting previously unselected package python3-certifi. Preparing to unpack .../143-python3-certifi_2022.9.24-1_all.deb ... Unpacking python3-certifi (2022.9.24-1) ... Selecting previously unselected package python3-charset-normalizer. Preparing to unpack .../144-python3-charset-normalizer_3.0.1-2_all.deb ... Unpacking python3-charset-normalizer (3.0.1-2) ... Selecting previously unselected package python3-idna. Preparing to unpack .../145-python3-idna_3.3-1_all.deb ... Unpacking python3-idna (3.3-1) ... Selecting previously unselected package python3-six. Preparing to unpack .../146-python3-six_1.16.0-4_all.deb ... Unpacking python3-six (1.16.0-4) ... Selecting previously unselected package python3-urllib3. Preparing to unpack .../147-python3-urllib3_1.26.12-1_all.deb ... Unpacking python3-urllib3 (1.26.12-1) ... Selecting previously unselected package python3-chardet. Preparing to unpack .../148-python3-chardet_5.1.0+dfsg-2_all.deb ... Unpacking python3-chardet (5.1.0+dfsg-2) ... Selecting previously unselected package python3-requests. Preparing to unpack .../149-python3-requests_2.28.1+dfsg-1_all.deb ... Unpacking python3-requests (2.28.1+dfsg-1) ... Selecting previously unselected package python3-snowballstemmer. Preparing to unpack .../150-python3-snowballstemmer_2.2.0-2_all.deb ... Unpacking python3-snowballstemmer (2.2.0-2) ... Selecting previously unselected package python3-sphinx. Preparing to unpack .../151-python3-sphinx_5.3.0-4_all.deb ... Unpacking python3-sphinx (5.3.0-4) ... Selecting previously unselected package python3-breathe. Preparing to unpack .../152-python3-breathe_4.34.0-1_all.deb ... Unpacking python3-breathe (4.34.0-1) ... Selecting previously unselected package sphinx-rtd-theme-common. Preparing to unpack .../153-sphinx-rtd-theme-common_1.2.0+dfsg-1_all.deb ... Unpacking sphinx-rtd-theme-common (1.2.0+dfsg-1) ... Selecting previously unselected package python3-sphinx-rtd-theme. Preparing to unpack .../154-python3-sphinx-rtd-theme_1.2.0+dfsg-1_all.deb ... Unpacking python3-sphinx-rtd-theme (1.2.0+dfsg-1) ... Selecting previously unselected package xtl-dev. Preparing to unpack .../155-xtl-dev_0.7.2-2.1_all.deb ... Unpacking xtl-dev (0.7.2-2.1) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:armhf (1.5.7-1) ... Setting up libgraphite2-3:armhf (1.3.14-1) ... Setting up libpixman-1-0:armhf (0.42.2-1) ... Setting up libaom3:armhf (3.6.0-1) ... Setting up libabsl20220623:armhf (20220623.1-1) ... Setting up libxau6:armhf (1:1.0.9-1) ... Setting up libpsl5:armhf (0.21.2-1) ... Setting up fonts-lato (2.0-2.1) ... Setting up libicu72:armhf (72.1-3) ... Setting up liblerc4:armhf (4.0.0+ds-2) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up libdatrie1:armhf (0.2.13-2+b1) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:armhf (2.74.6-2) ... No schema files found: doing nothing. Setting up libdebhelper-perl (13.11.4) ... Setting up libbrotli1:armhf (1.0.9-2+b6) ... Setting up liblab-gamut1:armhf (2.42.2-7+b3) ... Setting up x11-common (1:7.7+23) ... invoke-rc.d: could not determine current runlevel Setting up X socket directories... /tmp/.X11-unix /tmp/.ICE-unix. Setting up libnghttp2-14:armhf (1.52.0-1) ... Setting up libmagic1:armhf (1:5.44-3) ... Setting up libdeflate0:armhf (1.14-1) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up file (1:5.44-3) ... Setting up libsvtav1enc1:armhf (1.4.1+dfsg-1) ... Setting up googletest (1.12.1-0.2) ... Setting up libjbig0:armhf (2.1-6.1) ... Setting up librav1e0:armhf (0.5.1-6) ... Setting up python-babel-localedata (2.10.3-1) ... Setting up libsasl2-modules-db:armhf (2.1.28+dfsg-10) ... Setting up libgts-0.7-5:armhf (0.7.6+darcs121130-5+b1) ... Setting up autotools-dev (20220109.1) ... Setting up libz3-4:armhf (4.8.12-3.1) ... Setting up libuv1:armhf (1.44.2-1) ... Setting up libjpeg62-turbo:armhf (1:2.1.5-2) ... Setting up libx11-data (2:1.8.4-2) ... Setting up librtmp1:armhf (2.4+20151223.gitfa8646d.1-2+b2) ... Setting up libpathplan4:armhf (2.42.2-7+b3) ... Setting up libfribidi0:armhf (1.0.8-2.1) ... Setting up libproc2-0:armhf (2:4.0.2-3) ... Setting up libpng16-16:armhf (1.6.39-2) ... Setting up autopoint (0.21-12) ... Setting up libjsoncpp25:armhf (1.9.5-4) ... Setting up fonts-dejavu-core (2.37-6) ... Setting up xtl-dev (0.7.2-2.1) ... Setting up libgav1-1:armhf (0.18.0-1+b1) ... Setting up libdav1d6:armhf (1.0.0-2) ... Setting up libltdl7:armhf (2.4.7-5) ... Setting up libsasl2-2:armhf (2.1.28+dfsg-10) ... Setting up autoconf (2.71-3) ... Setting up libx265-199:armhf (3.5-2+b1) ... Setting up libwebp7:armhf (1.2.4-0.2) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up librhash0:armhf (1.4.3-3) ... Setting up libtiff6:armhf (4.5.0-6) ... Setting up libuchardet0:armhf (0.0.7-1) ... Setting up procps (2:4.0.2-3) ... Setting up libjson-perl (4.10000-1) ... Setting up libsub-override-perl (0.09-4) ... Setting up libthai-data (0.1.29-1) ... Setting up libssh2-1:armhf (1.10.0-3+b1) ... Setting up sgml-base (1.31) ... Setting up cmake-data (3.25.1-1) ... Setting up libcdt5:armhf (2.42.2-7+b3) ... Setting up libcgraph6:armhf (2.42.2-7+b3) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up libde265-0:armhf (1.0.11-1) ... Setting up openssl (3.0.8-1) ... Setting up libbsd0:armhf (0.11.7-2) ... Setting up libyuv0:armhf (0.0~git20230123.b2528b0-1) ... Setting up libelf1:armhf (0.188-2.1) ... Setting up readline-common (8.2-1.3) ... Setting up libxml2:armhf (2.9.14+dfsg-1.2) ... Setting up fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1) ... Setting up sphinx-rtd-theme-common (1.2.0+dfsg-1) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libice6:armhf (2:1.0.10-1) ... Setting up libxdmcp6:armhf (1:1.1.2-3) ... Setting up libxcb1:armhf (1.15-1) ... Setting up gettext (0.21-12) ... Setting up libgtest-dev:armhf (1.12.1-0.2) ... Setting up libavif15:armhf (0.11.1-1) ... Setting up libtool (2.4.7-5) ... Setting up libarchive13:armhf (3.6.2-1) ... Setting up libxcb-render0:armhf (1.15-1) ... Setting up fontconfig-config (2.14.1-4) ... Setting up libedit2:armhf (3.1-20221030-2) ... Setting up libreadline8:armhf (8.2-1.3) ... Setting up libheif1:armhf (1.15.1-1) ... Setting up libxcb-shm0:armhf (1.15-1) ... Setting up libldap-2.5-0:armhf (2.5.13+dfsg-5) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up libthai0:armhf (0.1.29-1) ... Setting up ca-certificates (20230311) ... Updating certificates in /etc/ssl/certs... 140 added, 0 removed; done. Setting up libfreetype6:armhf (2.12.1+dfsg-5) ... Setting up libjs-sphinxdoc (5.3.0-4) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libllvm14:armhf (1:14.0.6-12) ... Setting up libgvpr2:armhf (2.42.2-7+b3) ... Setting up dwz (0.15-1) ... Setting up groff-base (1.22.4-10) ... Setting up xml-core (0.18+nmu1) ... Setting up libcurl4:armhf (7.88.1-9) ... Setting up libx11-6:armhf (2:1.8.4-2) ... Setting up libharfbuzz0b:armhf (6.0.0+dfsg-3) ... Setting up libfontconfig1:armhf (2.14.1-4) ... Setting up libsm6:armhf (2:1.2.3-1) ... Setting up fontconfig (2.14.1-4) ... Regenerating fonts cache... done. Setting up libxpm4:armhf (1:3.5.12-1.1) ... Setting up libxrender1:armhf (1:0.9.10-1.1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libpython3.11-stdlib:armhf (3.11.2-6) ... Setting up libpango-1.0-0:armhf (1.50.12+ds-1) ... Setting up libxext6:armhf (2:1.3.4-1+b1) ... Setting up libclang1-14 (1:14.0.6-12) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libcairo2:armhf (1.16.0-7) ... Setting up sphinx-common (5.3.0-4) ... Setting up libgd3:armhf (2.3.3-9) ... Setting up libclang-cpp14 (1:14.0.6-12) ... Setting up libxt6:armhf (1:1.2.1-1.1) ... Setting up cmake (3.25.1-1) ... Setting up libpangoft2-1.0-0:armhf (1.50.12+ds-1) ... Setting up libpangocairo-1.0-0:armhf (1.50.12+ds-1) ... Setting up libpython3-stdlib:armhf (3.11.2-1+b1) ... Setting up python3.11 (3.11.2-6) ... Setting up libxmu6:armhf (2:1.1.3-3) ... Setting up debhelper (13.11.4) ... Setting up python3 (3.11.2-1+b1) ... Setting up libxaw7:armhf (2:1.0.14-1) ... Setting up python3-markupsafe (2.1.2-1+b1) ... Setting up python3-tz (2022.7.1-4) ... Setting up python3-six (1.16.0-4) ... Setting up python3-roman (3.3-3) ... Setting up python3-jinja2 (3.1.2-1) ... Setting up doxygen (1.9.4-4) ... Setting up python3-packaging (23.0-1) ... Setting up python3-certifi (2022.9.24-1) ... Setting up python3-snowballstemmer (2.2.0-2) ... Setting up libgvc6 (2.42.2-7+b3) ... Setting up python3-idna (3.3-1) ... Setting up python3-urllib3 (1.26.12-1) ... Setting up python3-lib2to3 (3.11.2-3) ... Setting up python3-imagesize (1.4.1-1) ... Setting up python3-pkg-resources (66.1.1-1) ... Setting up python3-distutils (3.11.2-3) ... Setting up graphviz (2.42.2-7+b3) ... Setting up python3-babel (2.10.3-1) ... update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode Setting up python3-charset-normalizer (3.0.1-2) ... Setting up python3-alabaster (0.7.12-1) ... Setting up python3-pygments (2.14.0+dfsg-1) ... Setting up python3-chardet (5.1.0+dfsg-2) ... Setting up python3-requests (2.28.1+dfsg-1) ... Processing triggers for libc-bin (2.36-9) ... Processing triggers for sgml-base (1.31) ... Setting up docutils-common (0.19+dfsg-6) ... Processing triggers for sgml-base (1.31) ... Setting up python3-docutils (0.19+dfsg-6) ... Setting up python3-sphinx (5.3.0-4) ... Setting up python3-sphinx-rtd-theme (1.2.0+dfsg-1) ... Setting up python3-breathe (4.34.0-1) ... Processing triggers for ca-certificates (20230311) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/xsimd-8.1.0/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../xsimd_8.1.0-7_source.changes dpkg-buildpackage: info: source package xsimd dpkg-buildpackage: info: source version 8.1.0-7 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Julien Lamy dpkg-source --before-build . dpkg-buildpackage: info: host architecture armhf debian/rules clean dh clean --with sphinxdoc debian/rules override_dh_auto_clean make[1]: Entering directory '/build/xsimd-8.1.0' dh_auto_clean /usr/bin/make -C docs clean make[2]: Entering directory '/build/xsimd-8.1.0/docs' rm -rf build/* make[2]: Leaving directory '/build/xsimd-8.1.0/docs' rm -rf docs/xml make[1]: Leaving directory '/build/xsimd-8.1.0' dh_clean debian/rules binary dh binary --with sphinxdoc dh_update_autotools_config dh_autoreconf debian/rules override_dh_auto_configure make[1]: Entering directory '/build/xsimd-8.1.0' dh_auto_configure -- -DENABLE_XTL_COMPLEX=ON -DBUILD_TESTS=ON cd obj-arm-linux-gnueabihf && cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/arm-linux-gnueabihf -DENABLE_XTL_COMPLEX=ON -DBUILD_TESTS=ON .. -- The C compiler identification is GNU 12.2.0 -- The CXX compiler identification is GNU 12.2.0 -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- xsimd v8.1.0 -- Tests build type is None -- Performing Test HAS_CPP14_FLAG -- Performing Test HAS_CPP14_FLAG - Success -- Found GTest: /usr/lib/arm-linux-gnueabihf/cmake/GTest/GTestConfig.cmake (found version "1.12.1") -- Found Threads: TRUE -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /build/xsimd-8.1.0/obj-arm-linux-gnueabihf make[1]: Leaving directory '/build/xsimd-8.1.0' debian/rules override_dh_auto_build make[1]: Entering directory '/build/xsimd-8.1.0' dh_auto_build cd obj-arm-linux-gnueabihf && make -j5 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' /usr/bin/cmake -S/build/xsimd-8.1.0 -B/build/xsimd-8.1.0/obj-arm-linux-gnueabihf --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/CMakeFiles /build/xsimd-8.1.0/obj-arm-linux-gnueabihf//CMakeFiles/progress.marks make -f CMakeFiles/Makefile2 all make[3]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' make -f test/CMakeFiles/test_xsimd.dir/build.make test/CMakeFiles/test_xsimd.dir/depend make[4]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/xsimd-8.1.0 /build/xsimd-8.1.0/test /build/xsimd-8.1.0/obj-arm-linux-gnueabihf /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test/CMakeFiles/test_xsimd.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' make -f test/CMakeFiles/test_xsimd.dir/build.make test/CMakeFiles/test_xsimd.dir/build make[4]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' [ 5%] Building CXX object test/CMakeFiles/test_xsimd.dir/main.cpp.o [ 5%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_api.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/main.cpp.o -MF CMakeFiles/test_xsimd.dir/main.cpp.o.d -o CMakeFiles/test_xsimd.dir/main.cpp.o -c /build/xsimd-8.1.0/test/main.cpp cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_api.cpp.o -MF CMakeFiles/test_xsimd.dir/test_api.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_api.cpp.o -c /build/xsimd-8.1.0/test/test_api.cpp [ 8%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_algorithms.cpp.o [ 11%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_basic_math.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_algorithms.cpp.o -MF CMakeFiles/test_xsimd.dir/test_algorithms.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_algorithms.cpp.o -c /build/xsimd-8.1.0/test/test_algorithms.cpp [ 14%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_arch.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_basic_math.cpp.o -MF CMakeFiles/test_xsimd.dir/test_basic_math.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_basic_math.cpp.o -c /build/xsimd-8.1.0/test/test_basic_math.cpp cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_arch.cpp.o -MF CMakeFiles/test_xsimd.dir/test_arch.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_arch.cpp.o -c /build/xsimd-8.1.0/test/test_arch.cpp [ 17%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch.cpp.o -c /build/xsimd-8.1.0/test/test_batch.cpp [ 20%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_bool.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_bool.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_bool.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_bool.cpp.o -c /build/xsimd-8.1.0/test/test_batch_bool.cpp [ 22%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_cast.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_cast.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_cast.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_cast.cpp.o -c /build/xsimd-8.1.0/test/test_batch_cast.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_batch.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = long long unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = long long unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:92:29: required from ‘xsimd::batch xsimd::kernel::bitofsign(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = long long unsigned int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:218:36: required from ‘xsimd::batch xsimd::bitofsign(const batch&) [with T = long long unsigned int; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:36:38: required from ‘xsimd::batch xsimd::kernel::abs(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = long long unsigned int; = void; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:59:30: required from ‘xsimd::batch xsimd::abs(const batch&) [with T = long long unsigned int; A = neon]’ /build/xsimd-8.1.0/test/test_batch.cpp:571:33: required from ‘void batch_test::test_abs() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch.cpp:773:19: required from ‘void batch_test_abs_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch.cpp:771:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = long long int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = long long int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:92:29: required from ‘xsimd::batch xsimd::kernel::bitofsign(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = long long int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:218:36: required from ‘xsimd::batch xsimd::bitofsign(const batch&) [with T = long long int; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:36:38: required from ‘xsimd::batch xsimd::kernel::abs(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = long long int; = void; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:59:30: required from ‘xsimd::batch xsimd::abs(const batch&) [with T = long long int; A = neon]’ /build/xsimd-8.1.0/test/test_batch.cpp:571:33: required from ‘void batch_test::test_abs() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch.cpp:773:19: required from ‘void batch_test_abs_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch.cpp:771:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] [ 25%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_complex.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_complex.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_complex.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_complex.cpp.o -c /build/xsimd-8.1.0/test/test_batch_complex.cpp [ 28%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_float.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_float.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_float.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_float.cpp.o -c /build/xsimd-8.1.0/test/test_batch_float.cpp [ 31%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_int.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_int.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_int.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_int.cpp.o -c /build/xsimd-8.1.0/test/test_batch_int.cpp [ 34%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_bitwise_cast.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_bitwise_cast.cpp.o -MF CMakeFiles/test_xsimd.dir/test_bitwise_cast.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_bitwise_cast.cpp.o -c /build/xsimd-8.1.0/test/test_bitwise_cast.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_batch_int.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = long long unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = long long unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:228:42: required from ‘void batch_int_test::test_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:332:21: required from ‘void batch_int_test_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:330:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = long long unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = long long unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:246:42: required from ‘void batch_int_test::test_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:332:21: required from ‘void batch_int_test_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:330:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = short unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = short unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:268:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = short unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = short unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:278:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = long long int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = long long int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:228:42: required from ‘void batch_int_test::test_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:332:21: required from ‘void batch_int_test_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:330:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = long long int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = long long int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:246:42: required from ‘void batch_int_test::test_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:332:21: required from ‘void batch_int_test_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:330:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = signed char; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = signed char; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:268:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = signed char; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = signed char; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:278:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:268:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:278:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = unsigned char; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = unsigned char; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:268:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = unsigned char; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = unsigned char; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:278:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:268:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = unsigned int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:278:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = short int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = short int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:268:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = short int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = short int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/test/test_batch_int.cpp:278:23: required from ‘void batch_int_test::test_more_shift() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:337:26: required from ‘void batch_int_test_more_shift_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_batch_int.cpp:335:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 37%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_constant.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_constant.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_constant.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_constant.cpp.o -c /build/xsimd-8.1.0/test/test_batch_constant.cpp [ 40%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_batch_manip.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_batch_manip.cpp.o -MF CMakeFiles/test_xsimd.dir/test_batch_manip.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_batch_manip.cpp.o -c /build/xsimd-8.1.0/test/test_batch_manip.cpp [ 42%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_complex_exponential.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_complex_exponential.cpp.o -MF CMakeFiles/test_xsimd.dir/test_complex_exponential.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_complex_exponential.cpp.o -c /build/xsimd-8.1.0/test/test_complex_exponential.cpp [ 45%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_complex_hyperbolic.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_complex_hyperbolic.cpp.o -MF CMakeFiles/test_xsimd.dir/test_complex_hyperbolic.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_complex_hyperbolic.cpp.o -c /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp In file included from /usr/include/c++/12/vector:70, from /build/xsimd-8.1.0/test/test_utils.hpp:19, from /build/xsimd-8.1.0/test/test_batch_manip.cpp:12: /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type ‘std::vector, std::allocator > >::iterator’ changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector, std::allocator > > >’ changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type ‘std::vector, std::allocator > >::iterator’ changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector, std::allocator > > >’ changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [ 48%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_complex_power.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_complex_power.cpp.o -MF CMakeFiles/test_xsimd.dir/test_complex_power.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_complex_power.cpp.o -c /build/xsimd-8.1.0/test/test_complex_power.cpp [ 51%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_complex_trigonometric.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_complex_trigonometric.cpp.o -MF CMakeFiles/test_xsimd.dir/test_complex_trigonometric.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_complex_trigonometric.cpp.o -c /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_complex_exponential.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1459:49: required from ‘xsimd::batch, A> xsimd::kernel::log(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/test/test_complex_exponential.cpp:111:22: required from ‘void complex_exponential_test::test_log() [with B = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_exponential.cpp:202:19: required from ‘void complex_exponential_test_log_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_exponential.cpp:200:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:906:115: required from ‘xsimd::batch xsimd::kernel::detail::expm1(const xsimd::batch&) [with A = xsimd::neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:950:47: required from ‘xsimd::batch xsimd::kernel::expm1(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:544:32: required from ‘xsimd::batch xsimd::expm1(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:959:36: required from ‘xsimd::batch, A> xsimd::kernel::expm1(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:544:32: required from ‘xsimd::batch xsimd::expm1(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/test/test_complex_exponential.cpp:79:24: required from ‘void complex_exponential_test::test_expm1() [with B = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_exponential.cpp:192:21: required from ‘void complex_exponential_test_expm1_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_exponential.cpp:190:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ In file included from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_details.hpp:17, from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_arithmetic.hpp:18, from /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_generic.hpp:15, from /build/xsimd-8.1.0/include/xsimd/types/../arch/xsimd_isa.hpp:80, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:411: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp: In function ‘int32_t xsimd::detail::__kernel_rem_pio2(double*, double*, int32_t, int32_t, int32_t, const int32_t*)’: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:315:61: required from ‘xsimd::batch, A> xsimd::kernel::atan(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:164:31: required from ‘xsimd::batch xsimd::atan(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:344:32: required from ‘xsimd::batch, A> xsimd::kernel::atanh(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:192:32: required from ‘xsimd::batch xsimd::atanh(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:143:24: required from ‘void complex_hyperbolic_test::test_atanh() [with B = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:180:21: required from ‘void complex_hyperbolic_test_atanh_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:178:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1053:21: required from ‘xsimd::batch xsimd::kernel::ldexp(const xsimd::batch&, const xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:978:32: required from ‘xsimd::batch xsimd::ldexp(const batch&, const batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:827:78: required from ‘xsimd::batch xsimd::kernel::detail::exp(const xsimd::batch&) [with exp_reduction_tag Tag = xsimd::kernel::detail::exp_tag; A = xsimd::neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:850:48: [ skipping 3 instantiation contexts, use -ftemplate-backtrace-limit=0 to disable ] /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:464:31: required from ‘xsimd::batch xsimd::cosh(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:961:26: required from ‘xsimd::batch, A> xsimd::kernel::tanh(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1853:31: required from ‘xsimd::batch xsimd::tanh(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:95:23: required from ‘void complex_hyperbolic_test::test_tanh() [with B = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:165:20: required from ‘void complex_hyperbolic_test_tanh_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_hyperbolic.cpp:163:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ In file included from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_details.hpp:17, from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_arithmetic.hpp:18, from /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_generic.hpp:15, from /build/xsimd-8.1.0/include/xsimd/types/../arch/xsimd_isa.hpp:80, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:411: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp: In function ‘int32_t xsimd::detail::__kernel_rem_pio2(double*, double*, int32_t, int32_t, int32_t, const int32_t*)’: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ [ 54%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_conversion.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_conversion.cpp.o -MF CMakeFiles/test_xsimd.dir/test_conversion.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_conversion.cpp.o -c /build/xsimd-8.1.0/test/test_conversion.cpp [ 57%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_error_gamma.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_error_gamma.cpp.o -MF CMakeFiles/test_xsimd.dir/test_error_gamma.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_error_gamma.cpp.o -c /build/xsimd-8.1.0/test/test_error_gamma.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:315:61: required from ‘xsimd::batch, A> xsimd::kernel::atan(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:164:31: required from ‘xsimd::batch xsimd::atan(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:156:23: required from ‘void complex_trigonometric_test::test_atan() [with B = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:233:20: required from ‘void complex_trigonometric_test_atan_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:231:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 60%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_exponential.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_exponential.cpp.o -MF CMakeFiles/test_xsimd.dir/test_exponential.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_exponential.cpp.o -c /build/xsimd-8.1.0/test/test_exponential.cpp /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1053:21: required from ‘xsimd::batch xsimd::kernel::ldexp(const xsimd::batch&, const xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:978:32: required from ‘xsimd::batch xsimd::ldexp(const batch&, const batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:827:78: required from ‘xsimd::batch xsimd::kernel::detail::exp(const xsimd::batch&) [with exp_reduction_tag Tag = xsimd::kernel::detail::exp_tag; A = xsimd::neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:850:48: [ skipping 3 instantiation contexts, use -ftemplate-backtrace-limit=0 to disable ] /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:464:31: required from ‘xsimd::batch xsimd::cosh(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:703:42: required from ‘xsimd::batch, A> xsimd::kernel::sin(const xsimd::batch, A>&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1607:30: required from ‘xsimd::batch xsimd::sin(const batch&) [with T = std::complex; A = neon]’ /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:64:22: required from ‘void complex_trigonometric_test::test_sin() [with B = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:203:19: required from ‘void complex_trigonometric_test_sin_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch >]’ /build/xsimd-8.1.0/test/test_complex_trigonometric.cpp:201:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 62%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_extract_pair.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_extract_pair.cpp.o -MF CMakeFiles/test_xsimd.dir/test_extract_pair.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_extract_pair.cpp.o -c /build/xsimd-8.1.0/test/test_extract_pair.cpp [ 65%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_fp_manipulation.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_fp_manipulation.cpp.o -MF CMakeFiles/test_xsimd.dir/test_fp_manipulation.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_fp_manipulation.cpp.o -c /build/xsimd-8.1.0/test/test_fp_manipulation.cpp In file included from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_details.hpp:17, from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_arithmetic.hpp:18, from /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_generic.hpp:15, from /build/xsimd-8.1.0/include/xsimd/types/../arch/xsimd_isa.hpp:80, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:411: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp: In function ‘int32_t xsimd::detail::__kernel_rem_pio2(double*, double*, int32_t, int32_t, int32_t, const int32_t*)’: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_extract_pair.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = unsigned int; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = unsigned int; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] 1800 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = long long int; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = long long int; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = short int; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = short int; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = float; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = float; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = short unsigned int; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = short unsigned int; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = long long unsigned int; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = long long unsigned int; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = signed char; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = signed char; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = unsigned char; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = unsigned char; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::extract_pair(const xsimd::batch&, const xsimd::batch&, std::size_t, requires_arch) [with A = xsimd::neon; T = int; std::size_t = unsigned int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:600:39: required from ‘xsimd::batch xsimd::extract_pair(const batch&, const batch&, std::size_t) [with T = int; A = neon; std::size_t = unsigned int]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:84:42: required from ‘void extract_pair_test::extract_pair_128() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:94:27: required from ‘void extract_pair_test_extract_pair_128_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_extract_pair.cpp:92:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1800:22: warning: comparison of unsigned expression in ‘>= 0’ is always true [-Wtype-limits] [ 68%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_hyperbolic.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_hyperbolic.cpp.o -MF CMakeFiles/test_xsimd.dir/test_hyperbolic.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_hyperbolic.cpp.o -c /build/xsimd-8.1.0/test/test_hyperbolic.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1053:21: required from ‘xsimd::batch xsimd::kernel::ldexp(const xsimd::batch&, const xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:978:32: required from ‘xsimd::batch xsimd::ldexp(const batch&, const batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:50:42: required from ‘void fp_manipulation_test::test_fp_manipulations() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:75:32: required from ‘void fp_manipulation_test_fp_manipulations_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:73:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ In file included from /usr/include/c++/12/vector:70, from /build/xsimd-8.1.0/test/test_utils.hpp:19, from /build/xsimd-8.1.0/test/test_extract_pair.cpp:15: /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type ‘std::vector, std::allocator > >::iterator’ changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector, std::allocator > > >’ changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type ‘std::vector, std::allocator > >::iterator’ changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector, std::allocator > > >’ changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_error_gamma.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:2194:43: required from ‘xsimd::batch xsimd::kernel::detail::stirling(const xsimd::batch&) [with T = float; A = xsimd::neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:2275:32: required from ‘B xsimd::kernel::detail::tgamma_large_negative(const B&) [with B = xsimd::batch]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:2338:50: required from ‘xsimd::batch xsimd::kernel::tgamma(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1866:33: required from ‘xsimd::batch xsimd::tgamma(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_error_gamma.cpp:94:29: required from ‘void error_gamma_test::test_gamma_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_error_gamma.cpp:159:31: required from ‘void error_gamma_test_gamma_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_error_gamma.cpp:157:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1053:21: required from ‘xsimd::batch xsimd::kernel::ldexp(const xsimd::batch&, const xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:978:32: required from ‘xsimd::batch xsimd::ldexp(const batch&, const batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:827:78: [ skipping 2 instantiation contexts, use -ftemplate-backtrace-limit=0 to disable ] /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:505:30: required from ‘xsimd::batch xsimd::exp(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:414:49: required from ‘xsimd::batch xsimd::kernel::erf(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:557:30: required from ‘xsimd::batch xsimd::erf(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_error_gamma.cpp:60:26: required from ‘void error_gamma_test::test_error_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_error_gamma.cpp:154:31: required from ‘void error_gamma_test_error_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_error_gamma.cpp:152:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_exponential.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_exponential.cpp:125:26: required from ‘void exponential_test::test_log_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_exponential.cpp:191:29: required from ‘void exponential_test_log_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_exponential.cpp:189:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:906:115: required from ‘xsimd::batch xsimd::kernel::detail::expm1(const xsimd::batch&) [with A = xsimd::neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:950:47: required from ‘xsimd::batch xsimd::kernel::expm1(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:544:32: required from ‘xsimd::batch xsimd::expm1(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_exponential.cpp:106:28: required from ‘void exponential_test::test_exponential_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_exponential.cpp:186:37: required from ‘void exponential_test_exp_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_exponential.cpp:184:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 71%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_load_store.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_load_store.cpp.o -MF CMakeFiles/test_xsimd.dir/test_load_store.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_load_store.cpp.o -c /build/xsimd-8.1.0/test/test_load_store.cpp /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:997:23: required from ‘xsimd::batch xsimd::kernel::frexp(const xsimd::batch&, xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:758:32: required from ‘xsimd::batch xsimd::frexp(const batch&, batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:59:42: required from ‘void fp_manipulation_test::test_fp_manipulations() const [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:75:32: required from ‘void fp_manipulation_test_fp_manipulations_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_fp_manipulation.cpp:73:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 74%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_memory.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_memory.cpp.o -MF CMakeFiles/test_xsimd.dir/test_memory.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_memory.cpp.o -c /build/xsimd-8.1.0/test/test_memory.cpp [ 77%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_poly_evaluation.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_poly_evaluation.cpp.o -MF CMakeFiles/test_xsimd.dir/test_poly_evaluation.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_poly_evaluation.cpp.o -c /build/xsimd-8.1.0/test/test_poly_evaluation.cpp [ 80%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_power.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_power.cpp.o -MF CMakeFiles/test_xsimd.dir/test_power.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_power.cpp.o -c /build/xsimd-8.1.0/test/test_power.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_hyperbolic.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:209:91: required from ‘xsimd::batch xsimd::kernel::asinh(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:151:32: required from ‘xsimd::batch xsimd::asinh(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_hyperbolic.cpp:109:28: required from ‘void hyperbolic_test::test_reciprocal_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_hyperbolic.cpp:157:36: required from ‘void hyperbolic_test_reciprocal_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_hyperbolic.cpp:155:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1053:21: required from ‘xsimd::batch xsimd::kernel::ldexp(const xsimd::batch&, const xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:978:32: required from ‘xsimd::batch xsimd::ldexp(const batch&, const batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:827:78: [ skipping 2 instantiation contexts, use -ftemplate-backtrace-limit=0 to disable ] /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:505:30: required from ‘xsimd::batch xsimd::exp(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_trigo.hpp:811:33: required from ‘xsimd::batch xsimd::kernel::sinh(const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1620:31: required from ‘xsimd::batch xsimd::sinh(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_hyperbolic.cpp:60:27: required from ‘void hyperbolic_test::test_hyperbolic_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_hyperbolic.cpp:152:36: required from ‘void hyperbolic_test_hyperbolic_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_hyperbolic.cpp:150:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 82%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_rounding.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_rounding.cpp.o -MF CMakeFiles/test_xsimd.dir/test_rounding.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_rounding.cpp.o -c /build/xsimd-8.1.0/test/test_rounding.cpp [ 85%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_select.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_select.cpp.o -MF CMakeFiles/test_xsimd.dir/test_select.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_select.cpp.o -c /build/xsimd-8.1.0/test/test_select.cpp [ 88%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_shuffle.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_shuffle.cpp.o -MF CMakeFiles/test_xsimd.dir/test_shuffle.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_shuffle.cpp.o -c /build/xsimd-8.1.0/test/test_shuffle.cpp In file included from /usr/include/c++/12/cassert:44, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:15, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_power.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_rshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:712:49: required from ‘xsimd::batch& xsimd::batch::operator>>=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:181:32: required from ‘xsimd::batch xsimd::operator>>(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1393:22: required from ‘xsimd::batch xsimd::kernel::log(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1146:30: required from ‘xsimd::batch xsimd::log(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1931:43: required from ‘xsimd::batch xsimd::kernel::pow(const xsimd::batch&, const xsimd::batch&, requires_arch) [with A = xsimd::neon; T = float; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:1378:30: required from ‘xsimd::batch xsimd::pow(const batch&, const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_power.cpp:64:26: required from ‘void power_test::test_power_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_power.cpp:156:31: required from ‘void power_test_power_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_power.cpp:154:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:2126:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 2126 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp: In instantiation of ‘xsimd::batch xsimd::kernel::bitwise_lshift(const xsimd::batch&, int, requires_arch) [with A = xsimd::neon; T = int; requires_arch = const xsimd::neon&]’: /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:718:49: required from ‘xsimd::batch& xsimd::batch::operator<<=(int32_t) [with T = int; A = xsimd::neon; int32_t = int]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:186:32: required from ‘xsimd::batch xsimd::operator<<(const batch&, int32_t)’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:1053:21: required from ‘xsimd::batch xsimd::kernel::ldexp(const xsimd::batch&, const xsimd::batch::type, A>&, requires_arch) [with A = xsimd::neon; T = float; typename xsimd::as_integer::type = int; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:978:32: required from ‘xsimd::batch xsimd::ldexp(const batch&, const batch::type, A>&) [with T = float; A = neon; typename as_integer::type = int]’ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_math.hpp:149:22: required from ‘xsimd::batch xsimd::kernel::cbrt(const xsimd::batch&, requires_arch) [with A = xsimd::neon; requires_arch = const xsimd::generic&]’ /build/xsimd-8.1.0/include/xsimd/types/xsimd_api.hpp:380:31: required from ‘xsimd::batch xsimd::cbrt(const batch&) [with T = float; A = neon]’ /build/xsimd-8.1.0/test/test_power.cpp:143:27: required from ‘void power_test::test_power_functions() [with B = xsimd::batch]’ /build/xsimd-8.1.0/test/test_power.cpp:156:31: required from ‘void power_test_power_Test::TestBody() [with gtest_TypeParam_ = xsimd::batch]’ /build/xsimd-8.1.0/test/test_power.cpp:154:1: required from here /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_neon.hpp:1939:32: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const std::size_t’ {aka ‘const unsigned int’} [-Wsign-compare] 1939 | assert(0 <= n && n < size && "index in bounds"); | ~~^~~~~~ [ 91%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_sum.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_sum.cpp.o -MF CMakeFiles/test_xsimd.dir/test_sum.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_sum.cpp.o -c /build/xsimd-8.1.0/test/test_sum.cpp [ 94%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_traits.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_traits.cpp.o -MF CMakeFiles/test_xsimd.dir/test_traits.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_traits.cpp.o -c /build/xsimd-8.1.0/test/test_traits.cpp [ 97%] Building CXX object test/CMakeFiles/test_xsimd.dir/test_trigonometric.cpp.o cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/c++ -DXSIMD_ENABLE_XTL_COMPLEX=1 -I/build/xsimd-8.1.0/include -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -DGTEST_HAS_PTHREAD=1 -MD -MT test/CMakeFiles/test_xsimd.dir/test_trigonometric.cpp.o -MF CMakeFiles/test_xsimd.dir/test_trigonometric.cpp.o.d -o CMakeFiles/test_xsimd.dir/test_trigonometric.cpp.o -c /build/xsimd-8.1.0/test/test_trigonometric.cpp In file included from /usr/include/c++/12/vector:70, from /build/xsimd-8.1.0/test/test_utils.hpp:19, from /build/xsimd-8.1.0/test/test_shuffle.cpp:15: /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type ‘std::vector, std::allocator > >::iterator’ changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector, std::allocator > > >’ changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type ‘std::vector, std::allocator > >::iterator’ changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function ‘void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::array}; _Tp = std::array; _Alloc = std::allocator >]’: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type ‘__gnu_cxx::__normal_iterator*, std::vector, std::allocator > > >’ changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./xsimd_generic_details.hpp:17, from /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/xsimd_generic_arithmetic.hpp:18, from /build/xsimd-8.1.0/include/xsimd/types/../arch/./xsimd_generic.hpp:15, from /build/xsimd-8.1.0/include/xsimd/types/../arch/xsimd_isa.hpp:80, from /build/xsimd-8.1.0/include/xsimd/types/xsimd_batch.hpp:411, from /build/xsimd-8.1.0/include/xsimd/xsimd.hpp:29, from /build/xsimd-8.1.0/test/test_trigonometric.cpp:12: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp: In function ‘int32_t xsimd::detail::__kernel_rem_pio2(double*, double*, int32_t, int32_t, int32_t, const int32_t*)’: /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:417:26: warning: ‘fq’ may be used uninitialized [-Wmaybe-uninitialized] 417 | fw = fq[0] - fw; | ~~~~^ /build/xsimd-8.1.0/include/xsimd/types/../arch/././generic/./../../math/xsimd_rem_pio2.hpp:243:34: note: ‘fq’ declared here 243 | double z, fw, f[20], fq[20], q[20]; | ^~ [100%] Linking CXX executable test_xsimd cd /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test && /usr/bin/cmake -E cmake_link_script CMakeFiles/test_xsimd.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/xsimd-8.1.0=. -fstack-protector-strong -Wformat -Werror=format-security -mfloat-abi=hard -mfpu=neon -Wdate-time -D_FORTIFY_SOURCE=2 -Wunused-parameter -Wextra -Wreorder -std=c++14 -g -fPIC -mcpu=native -mtune=native -Wl,-z,relro -rdynamic CMakeFiles/test_xsimd.dir/main.cpp.o CMakeFiles/test_xsimd.dir/test_algorithms.cpp.o CMakeFiles/test_xsimd.dir/test_api.cpp.o CMakeFiles/test_xsimd.dir/test_arch.cpp.o CMakeFiles/test_xsimd.dir/test_basic_math.cpp.o CMakeFiles/test_xsimd.dir/test_batch.cpp.o CMakeFiles/test_xsimd.dir/test_batch_bool.cpp.o CMakeFiles/test_xsimd.dir/test_batch_cast.cpp.o CMakeFiles/test_xsimd.dir/test_batch_complex.cpp.o CMakeFiles/test_xsimd.dir/test_batch_float.cpp.o CMakeFiles/test_xsimd.dir/test_batch_int.cpp.o CMakeFiles/test_xsimd.dir/test_bitwise_cast.cpp.o CMakeFiles/test_xsimd.dir/test_batch_constant.cpp.o CMakeFiles/test_xsimd.dir/test_batch_manip.cpp.o CMakeFiles/test_xsimd.dir/test_complex_exponential.cpp.o CMakeFiles/test_xsimd.dir/test_complex_hyperbolic.cpp.o CMakeFiles/test_xsimd.dir/test_complex_power.cpp.o CMakeFiles/test_xsimd.dir/test_complex_trigonometric.cpp.o CMakeFiles/test_xsimd.dir/test_conversion.cpp.o CMakeFiles/test_xsimd.dir/test_error_gamma.cpp.o CMakeFiles/test_xsimd.dir/test_exponential.cpp.o CMakeFiles/test_xsimd.dir/test_extract_pair.cpp.o CMakeFiles/test_xsimd.dir/test_fp_manipulation.cpp.o CMakeFiles/test_xsimd.dir/test_hyperbolic.cpp.o CMakeFiles/test_xsimd.dir/test_load_store.cpp.o CMakeFiles/test_xsimd.dir/test_memory.cpp.o CMakeFiles/test_xsimd.dir/test_poly_evaluation.cpp.o CMakeFiles/test_xsimd.dir/test_power.cpp.o CMakeFiles/test_xsimd.dir/test_rounding.cpp.o CMakeFiles/test_xsimd.dir/test_select.cpp.o CMakeFiles/test_xsimd.dir/test_shuffle.cpp.o CMakeFiles/test_xsimd.dir/test_sum.cpp.o CMakeFiles/test_xsimd.dir/test_traits.cpp.o CMakeFiles/test_xsimd.dir/test_trigonometric.cpp.o -o test_xsimd /usr/lib/arm-linux-gnueabihf/libgtest_main.a /usr/lib/arm-linux-gnueabihf/libgtest.a make[4]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' [100%] Built target test_xsimd make[3]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' /usr/bin/cmake -E cmake_progress_start /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/CMakeFiles 0 make[2]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' /usr/bin/make -C docs html make[2]: Entering directory '/build/xsimd-8.1.0/docs' doxygen sphinx-build -b html -d build/doctrees source build/html Running Sphinx v5.3.0 making output directory... done building [mo]: targets for 0 po files that are out of date building [html]: targets for 26 source files that are out of date updating environment: [new config] 26 added, 0 changed, 0 removed reading sources... [ 3%] api/aligned_allocator reading sources... [ 7%] api/arch reading sources... [ 11%] api/available_wrappers reading sources... [ 15%] api/basic_functions reading sources... [ 19%] api/batch_index reading sources... [ 23%] api/batch_manip reading sources... [ 26%] api/classification_functions reading sources... [ 30%] api/data_transfer reading sources... [ 34%] api/dispatching reading sources... [ 38%] api/error_functions reading sources... [ 42%] api/exponential_functions reading sources... [ 46%] api/hyperbolic_functions reading sources... [ 50%] api/instr_macros reading sources... [ 53%] api/math_index reading sources... [ 57%] api/nearint_operations reading sources... [ 61%] api/power_functions reading sources... [ 65%] api/trigonometric_functions reading sources... [ 69%] api/xsimd_batch reading sources... [ 73%] api/xsimd_batch_bool reading sources... [ 76%] api/xsimd_batch_complex reading sources... [ 80%] api/xsimd_batch_constant reading sources... [ 84%] basic_usage reading sources... [ 88%] index reading sources... [ 92%] installation reading sources... [ 96%] migration_guide reading sources... [100%] vectorized_code looking for now-outdated files... none found pickling environment... done checking consistency... done preparing documents... done writing output... [ 3%] api/aligned_allocator writing output... [ 7%] api/arch writing output... [ 11%] api/available_wrappers writing output... [ 15%] api/basic_functions writing output... [ 19%] api/batch_index writing output... [ 23%] api/batch_manip writing output... [ 26%] api/classification_functions writing output... [ 30%] api/data_transfer writing output... [ 34%] api/dispatching writing output... [ 38%] api/error_functions writing output... [ 42%] api/exponential_functions writing output... [ 46%] api/hyperbolic_functions writing output... [ 50%] api/instr_macros writing output... [ 53%] api/math_index writing output... [ 57%] api/nearint_operations writing output... [ 61%] api/power_functions writing output... [ 65%] api/trigonometric_functions writing output... [ 69%] api/xsimd_batch writing output... [ 73%] api/xsimd_batch_bool writing output... [ 76%] api/xsimd_batch_complex writing output... [ 80%] api/xsimd_batch_constant writing output... [ 84%] basic_usage writing output... [ 88%] index writing output... [ 92%] installation writing output... [ 96%] migration_guide writing output... [100%] vectorized_code generating indices... genindex done writing additional pages... search done copying images... [ 25%] xsimd.svg copying images... [ 50%] conda.svg copying images... [ 75%] spack.svg copying images... [100%] cmake.svg copying static files... done copying extra files... done dumping search index in English (code: en)... done dumping object inventory... done build succeeded. The HTML pages are in build/html. Build finished. The HTML pages are in build/html. make[2]: Leaving directory '/build/xsimd-8.1.0/docs' make[1]: Leaving directory '/build/xsimd-8.1.0' dh_auto_test cd obj-arm-linux-gnueabihf && make -j5 test ARGS\+=--verbose ARGS\+=-j5 make[1]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' Running tests... /usr/bin/ctest --force-new-ctest-process --verbose -j5 UpdateCTestConfiguration from :/build/xsimd-8.1.0/obj-arm-linux-gnueabihf/DartConfiguration.tcl UpdateCTestConfiguration from :/build/xsimd-8.1.0/obj-arm-linux-gnueabihf/DartConfiguration.tcl Test project /build/xsimd-8.1.0/obj-arm-linux-gnueabihf Constructing a list of tests Done constructing a list of tests Updating test list for fixtures Added 0 tests to meet fixture requirements Checking test dependency graph... Checking test dependency graph end test 1 Start 1: test_xsimd 1: Test command: /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test/test_xsimd 1: Working Directory: /build/xsimd-8.1.0/obj-arm-linux-gnueabihf/test 1: Test timeout computed to be: 10000000 1: [==========] Running 490 tests from 133 test suites. 1: [----------] Global test environment set-up. 1: [----------] 2 tests from algorithms/0, where TypeParam = float 1: [ RUN ] algorithms/0.binary_transform 1: [ OK ] algorithms/0.binary_transform (0 ms) 1: [ RUN ] algorithms/0.unary_transform 1: [ OK ] algorithms/0.unary_transform (0 ms) 1: [----------] 2 tests from algorithms/0 (0 ms total) 1: 1: [----------] 2 tests from algorithms/1, where TypeParam = std::complex 1: [ RUN ] algorithms/1.binary_transform 1: [ OK ] algorithms/1.binary_transform (0 ms) 1: [ RUN ] algorithms/1.unary_transform 1: [ OK ] algorithms/1.unary_transform (0 ms) 1: [----------] 2 tests from algorithms/1 (0 ms total) 1: 1: [----------] 5 tests from xsimd_reduce 1: [ RUN ] xsimd_reduce.unaligned_begin_unaligned_end 1: [ OK ] xsimd_reduce.unaligned_begin_unaligned_end (0 ms) 1: [ RUN ] xsimd_reduce.unaligned_begin_aligned_end 1: [ OK ] xsimd_reduce.unaligned_begin_aligned_end (0 ms) 1: [ RUN ] xsimd_reduce.aligned_begin_unaligned_end 1: [ OK ] xsimd_reduce.aligned_begin_unaligned_end (0 ms) 1: [ RUN ] xsimd_reduce.aligned_begin_aligned_end 1: [ OK ] xsimd_reduce.aligned_begin_aligned_end (0 ms) 1: [ RUN ] xsimd_reduce.using_custom_binary_function 1: [ OK ] xsimd_reduce.using_custom_binary_function (0 ms) 1: [----------] 5 tests from xsimd_reduce (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_uint8_t.load 1: [ OK ] xsimd_api_test/arm_uint8_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_uint8_t.store 1: [ OK ] xsimd_api_test/arm_uint8_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_uint8_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_int8_t.load 1: [ OK ] xsimd_api_test/arm_int8_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_int8_t.store 1: [ OK ] xsimd_api_test/arm_int8_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_int8_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_uint16_t.load 1: [ OK ] xsimd_api_test/arm_uint16_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_uint16_t.store 1: [ OK ] xsimd_api_test/arm_uint16_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_uint16_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_int16_t.load 1: [ OK ] xsimd_api_test/arm_int16_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_int16_t.store 1: [ OK ] xsimd_api_test/arm_int16_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_int16_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_uint32_t.load 1: [ OK ] xsimd_api_test/arm_uint32_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_uint32_t.store 1: [ OK ] xsimd_api_test/arm_uint32_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_uint32_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_int32_t.load 1: [ OK ] xsimd_api_test/arm_int32_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_int32_t.store 1: [ OK ] xsimd_api_test/arm_int32_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_int32_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_uint64_t.load 1: [ OK ] xsimd_api_test/arm_uint64_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_uint64_t.store 1: [ OK ] xsimd_api_test/arm_uint64_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_uint64_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_int64_t.load 1: [ OK ] xsimd_api_test/arm_int64_t.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_int64_t.store 1: [ OK ] xsimd_api_test/arm_int64_t.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_int64_t (0 ms total) 1: 1: [----------] 2 tests from xsimd_api_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] xsimd_api_test/arm_float.load 1: [ OK ] xsimd_api_test/arm_float.load (0 ms) 1: [ RUN ] xsimd_api_test/arm_float.store 1: [ OK ] xsimd_api_test/arm_float.store (0 ms) 1: [----------] 2 tests from xsimd_api_test/arm_float (0 ms total) 1: 1: [----------] 7 tests from arch 1: [ RUN ] arch.supported 1: [ OK ] arch.supported (0 ms) 1: [ RUN ] arch.name 1: [ OK ] arch.name (0 ms) 1: [ RUN ] arch.available 1: [ OK ] arch.available (0 ms) 1: [ RUN ] arch.arch_list_alignment 1: [ OK ] arch.arch_list_alignment (0 ms) 1: [ RUN ] arch.dispatcher 1: [ OK ] arch.dispatcher (0 ms) 1: [ RUN ] arch.fixed_size_types 1: [ OK ] arch.fixed_size_types (0 ms) 1: [ RUN ] arch.default_load 1: [ OK ] arch.default_load (0 ms) 1: [----------] 7 tests from arch (0 ms total) 1: 1: [----------] 1 test from basic_math_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] basic_math_test/arm_int32_t.basic_functions 1: [ OK ] basic_math_test/arm_int32_t.basic_functions (0 ms) 1: [----------] 1 test from basic_math_test/arm_int32_t (0 ms total) 1: 1: [----------] 1 test from basic_math_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] basic_math_test/arm_float.basic_functions 1: [ OK ] basic_math_test/arm_float.basic_functions (0 ms) 1: [----------] 1 test from basic_math_test/arm_float (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_uint8_t.stream_dump 1: [ OK ] batch_test/arm_uint8_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.load_store 1: [ OK ] batch_test/arm_uint8_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.constructors 1: [ OK ] batch_test/arm_uint8_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.static_builders 1: [ OK ] batch_test/arm_uint8_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.access_operator 1: [ OK ] batch_test/arm_uint8_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.arithmetic 1: [ OK ] batch_test/arm_uint8_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.saturated_arithmetic 1: [ OK ] batch_test/arm_uint8_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.computed_assignment 1: [ OK ] batch_test/arm_uint8_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.comparison 1: [ OK ] batch_test/arm_uint8_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.logical 1: [ OK ] batch_test/arm_uint8_t.logical (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.min_max 1: [ OK ] batch_test/arm_uint8_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.fused_operations 1: [ OK ] batch_test/arm_uint8_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.abs 1: [ OK ] batch_test/arm_uint8_t.abs (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.horizontal_operations 1: [ OK ] batch_test/arm_uint8_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.boolean_conversions 1: [ OK ] batch_test/arm_uint8_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_uint8_t.iterator 1: [ OK ] batch_test/arm_uint8_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_uint8_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_int8_t.stream_dump 1: [ OK ] batch_test/arm_int8_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_int8_t.load_store 1: [ OK ] batch_test/arm_int8_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_int8_t.constructors 1: [ OK ] batch_test/arm_int8_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_int8_t.static_builders 1: [ OK ] batch_test/arm_int8_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_int8_t.access_operator 1: [ OK ] batch_test/arm_int8_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_int8_t.arithmetic 1: [ OK ] batch_test/arm_int8_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int8_t.saturated_arithmetic 1: [ OK ] batch_test/arm_int8_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int8_t.computed_assignment 1: [ OK ] batch_test/arm_int8_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_int8_t.comparison 1: [ OK ] batch_test/arm_int8_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_int8_t.logical 1: [ OK ] batch_test/arm_int8_t.logical (0 ms) 1: [ RUN ] batch_test/arm_int8_t.min_max 1: [ OK ] batch_test/arm_int8_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_int8_t.fused_operations 1: [ OK ] batch_test/arm_int8_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_int8_t.abs 1: [ OK ] batch_test/arm_int8_t.abs (0 ms) 1: [ RUN ] batch_test/arm_int8_t.horizontal_operations 1: [ OK ] batch_test/arm_int8_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_int8_t.boolean_conversions 1: [ OK ] batch_test/arm_int8_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_int8_t.iterator 1: [ OK ] batch_test/arm_int8_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_int8_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_uint16_t.stream_dump 1: [ OK ] batch_test/arm_uint16_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.load_store 1: [ OK ] batch_test/arm_uint16_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.constructors 1: [ OK ] batch_test/arm_uint16_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.static_builders 1: [ OK ] batch_test/arm_uint16_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.access_operator 1: [ OK ] batch_test/arm_uint16_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.arithmetic 1: [ OK ] batch_test/arm_uint16_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.saturated_arithmetic 1: [ OK ] batch_test/arm_uint16_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.computed_assignment 1: [ OK ] batch_test/arm_uint16_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.comparison 1: [ OK ] batch_test/arm_uint16_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.logical 1: [ OK ] batch_test/arm_uint16_t.logical (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.min_max 1: [ OK ] batch_test/arm_uint16_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.fused_operations 1: [ OK ] batch_test/arm_uint16_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.abs 1: [ OK ] batch_test/arm_uint16_t.abs (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.horizontal_operations 1: [ OK ] batch_test/arm_uint16_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.boolean_conversions 1: [ OK ] batch_test/arm_uint16_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_uint16_t.iterator 1: [ OK ] batch_test/arm_uint16_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_uint16_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_int16_t.stream_dump 1: [ OK ] batch_test/arm_int16_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_int16_t.load_store 1: [ OK ] batch_test/arm_int16_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_int16_t.constructors 1: [ OK ] batch_test/arm_int16_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_int16_t.static_builders 1: [ OK ] batch_test/arm_int16_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_int16_t.access_operator 1: [ OK ] batch_test/arm_int16_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_int16_t.arithmetic 1: [ OK ] batch_test/arm_int16_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int16_t.saturated_arithmetic 1: [ OK ] batch_test/arm_int16_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int16_t.computed_assignment 1: [ OK ] batch_test/arm_int16_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_int16_t.comparison 1: [ OK ] batch_test/arm_int16_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_int16_t.logical 1: [ OK ] batch_test/arm_int16_t.logical (0 ms) 1: [ RUN ] batch_test/arm_int16_t.min_max 1: [ OK ] batch_test/arm_int16_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_int16_t.fused_operations 1: [ OK ] batch_test/arm_int16_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_int16_t.abs 1: [ OK ] batch_test/arm_int16_t.abs (0 ms) 1: [ RUN ] batch_test/arm_int16_t.horizontal_operations 1: [ OK ] batch_test/arm_int16_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_int16_t.boolean_conversions 1: [ OK ] batch_test/arm_int16_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_int16_t.iterator 1: [ OK ] batch_test/arm_int16_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_int16_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_uint32_t.stream_dump 1: [ OK ] batch_test/arm_uint32_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.load_store 1: [ OK ] batch_test/arm_uint32_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.constructors 1: [ OK ] batch_test/arm_uint32_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.static_builders 1: [ OK ] batch_test/arm_uint32_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.access_operator 1: [ OK ] batch_test/arm_uint32_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.arithmetic 1: [ OK ] batch_test/arm_uint32_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.saturated_arithmetic 1: [ OK ] batch_test/arm_uint32_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.computed_assignment 1: [ OK ] batch_test/arm_uint32_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.comparison 1: [ OK ] batch_test/arm_uint32_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.logical 1: [ OK ] batch_test/arm_uint32_t.logical (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.min_max 1: [ OK ] batch_test/arm_uint32_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.fused_operations 1: [ OK ] batch_test/arm_uint32_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.abs 1: [ OK ] batch_test/arm_uint32_t.abs (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.horizontal_operations 1: [ OK ] batch_test/arm_uint32_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.boolean_conversions 1: [ OK ] batch_test/arm_uint32_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_uint32_t.iterator 1: [ OK ] batch_test/arm_uint32_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_uint32_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_int32_t.stream_dump 1: [ OK ] batch_test/arm_int32_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_int32_t.load_store 1: [ OK ] batch_test/arm_int32_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_int32_t.constructors 1: [ OK ] batch_test/arm_int32_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_int32_t.static_builders 1: [ OK ] batch_test/arm_int32_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_int32_t.access_operator 1: [ OK ] batch_test/arm_int32_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_int32_t.arithmetic 1: [ OK ] batch_test/arm_int32_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int32_t.saturated_arithmetic 1: [ OK ] batch_test/arm_int32_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int32_t.computed_assignment 1: [ OK ] batch_test/arm_int32_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_int32_t.comparison 1: [ OK ] batch_test/arm_int32_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_int32_t.logical 1: [ OK ] batch_test/arm_int32_t.logical (0 ms) 1: [ RUN ] batch_test/arm_int32_t.min_max 1: [ OK ] batch_test/arm_int32_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_int32_t.fused_operations 1: [ OK ] batch_test/arm_int32_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_int32_t.abs 1: [ OK ] batch_test/arm_int32_t.abs (0 ms) 1: [ RUN ] batch_test/arm_int32_t.horizontal_operations 1: [ OK ] batch_test/arm_int32_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_int32_t.boolean_conversions 1: [ OK ] batch_test/arm_int32_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_int32_t.iterator 1: [ OK ] batch_test/arm_int32_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_int32_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_uint64_t.stream_dump 1: [ OK ] batch_test/arm_uint64_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.load_store 1: [ OK ] batch_test/arm_uint64_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.constructors 1: [ OK ] batch_test/arm_uint64_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.static_builders 1: [ OK ] batch_test/arm_uint64_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.access_operator 1: [ OK ] batch_test/arm_uint64_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.arithmetic 1: [ OK ] batch_test/arm_uint64_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.saturated_arithmetic 1: [ OK ] batch_test/arm_uint64_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.computed_assignment 1: [ OK ] batch_test/arm_uint64_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.comparison 1: [ OK ] batch_test/arm_uint64_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.logical 1: [ OK ] batch_test/arm_uint64_t.logical (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.min_max 1: [ OK ] batch_test/arm_uint64_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.fused_operations 1: [ OK ] batch_test/arm_uint64_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.abs 1: [ OK ] batch_test/arm_uint64_t.abs (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.horizontal_operations 1: [ OK ] batch_test/arm_uint64_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.boolean_conversions 1: [ OK ] batch_test/arm_uint64_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_uint64_t.iterator 1: [ OK ] batch_test/arm_uint64_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_uint64_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_int64_t.stream_dump 1: [ OK ] batch_test/arm_int64_t.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_int64_t.load_store 1: [ OK ] batch_test/arm_int64_t.load_store (0 ms) 1: [ RUN ] batch_test/arm_int64_t.constructors 1: [ OK ] batch_test/arm_int64_t.constructors (0 ms) 1: [ RUN ] batch_test/arm_int64_t.static_builders 1: [ OK ] batch_test/arm_int64_t.static_builders (0 ms) 1: [ RUN ] batch_test/arm_int64_t.access_operator 1: [ OK ] batch_test/arm_int64_t.access_operator (0 ms) 1: [ RUN ] batch_test/arm_int64_t.arithmetic 1: [ OK ] batch_test/arm_int64_t.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int64_t.saturated_arithmetic 1: [ OK ] batch_test/arm_int64_t.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_int64_t.computed_assignment 1: [ OK ] batch_test/arm_int64_t.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_int64_t.comparison 1: [ OK ] batch_test/arm_int64_t.comparison (0 ms) 1: [ RUN ] batch_test/arm_int64_t.logical 1: [ OK ] batch_test/arm_int64_t.logical (0 ms) 1: [ RUN ] batch_test/arm_int64_t.min_max 1: [ OK ] batch_test/arm_int64_t.min_max (0 ms) 1: [ RUN ] batch_test/arm_int64_t.fused_operations 1: [ OK ] batch_test/arm_int64_t.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_int64_t.abs 1: [ OK ] batch_test/arm_int64_t.abs (0 ms) 1: [ RUN ] batch_test/arm_int64_t.horizontal_operations 1: [ OK ] batch_test/arm_int64_t.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_int64_t.boolean_conversions 1: [ OK ] batch_test/arm_int64_t.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_int64_t.iterator 1: [ OK ] batch_test/arm_int64_t.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_int64_t (0 ms total) 1: 1: [----------] 16 tests from batch_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] batch_test/arm_float.stream_dump 1: [ OK ] batch_test/arm_float.stream_dump (0 ms) 1: [ RUN ] batch_test/arm_float.load_store 1: [ OK ] batch_test/arm_float.load_store (0 ms) 1: [ RUN ] batch_test/arm_float.constructors 1: [ OK ] batch_test/arm_float.constructors (0 ms) 1: [ RUN ] batch_test/arm_float.static_builders 1: [ OK ] batch_test/arm_float.static_builders (0 ms) 1: [ RUN ] batch_test/arm_float.access_operator 1: [ OK ] batch_test/arm_float.access_operator (0 ms) 1: [ RUN ] batch_test/arm_float.arithmetic 1: [ OK ] batch_test/arm_float.arithmetic (0 ms) 1: [ RUN ] batch_test/arm_float.saturated_arithmetic 1: [ OK ] batch_test/arm_float.saturated_arithmetic (0 ms) 1: [ RUN ] batch_test/arm_float.computed_assignment 1: [ OK ] batch_test/arm_float.computed_assignment (0 ms) 1: [ RUN ] batch_test/arm_float.comparison 1: [ OK ] batch_test/arm_float.comparison (0 ms) 1: [ RUN ] batch_test/arm_float.logical 1: [ OK ] batch_test/arm_float.logical (0 ms) 1: [ RUN ] batch_test/arm_float.min_max 1: [ OK ] batch_test/arm_float.min_max (0 ms) 1: [ RUN ] batch_test/arm_float.fused_operations 1: [ OK ] batch_test/arm_float.fused_operations (0 ms) 1: [ RUN ] batch_test/arm_float.abs 1: [ OK ] batch_test/arm_float.abs (0 ms) 1: [ RUN ] batch_test/arm_float.horizontal_operations 1: [ OK ] batch_test/arm_float.horizontal_operations (0 ms) 1: [ RUN ] batch_test/arm_float.boolean_conversions 1: [ OK ] batch_test/arm_float.boolean_conversions (0 ms) 1: [ RUN ] batch_test/arm_float.iterator 1: [ OK ] batch_test/arm_float.iterator (0 ms) 1: [----------] 16 tests from batch_test/arm_float (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_uint8_t.load_store 1: [ OK ] batch_bool_test/arm_uint8_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_uint8_t.any_all 1: [ OK ] batch_bool_test/arm_uint8_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_uint8_t.logical_operations 1: [ OK ] batch_bool_test/arm_uint8_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_uint8_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_uint8_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_uint8_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_int8_t.load_store 1: [ OK ] batch_bool_test/arm_int8_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_int8_t.any_all 1: [ OK ] batch_bool_test/arm_int8_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_int8_t.logical_operations 1: [ OK ] batch_bool_test/arm_int8_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_int8_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_int8_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_int8_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_uint16_t.load_store 1: [ OK ] batch_bool_test/arm_uint16_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_uint16_t.any_all 1: [ OK ] batch_bool_test/arm_uint16_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_uint16_t.logical_operations 1: [ OK ] batch_bool_test/arm_uint16_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_uint16_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_uint16_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_uint16_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_int16_t.load_store 1: [ OK ] batch_bool_test/arm_int16_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_int16_t.any_all 1: [ OK ] batch_bool_test/arm_int16_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_int16_t.logical_operations 1: [ OK ] batch_bool_test/arm_int16_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_int16_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_int16_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_int16_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_uint32_t.load_store 1: [ OK ] batch_bool_test/arm_uint32_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_uint32_t.any_all 1: [ OK ] batch_bool_test/arm_uint32_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_uint32_t.logical_operations 1: [ OK ] batch_bool_test/arm_uint32_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_uint32_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_uint32_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_uint32_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_int32_t.load_store 1: [ OK ] batch_bool_test/arm_int32_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_int32_t.any_all 1: [ OK ] batch_bool_test/arm_int32_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_int32_t.logical_operations 1: [ OK ] batch_bool_test/arm_int32_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_int32_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_int32_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_int32_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_uint64_t.load_store 1: [ OK ] batch_bool_test/arm_uint64_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_uint64_t.any_all 1: [ OK ] batch_bool_test/arm_uint64_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_uint64_t.logical_operations 1: [ OK ] batch_bool_test/arm_uint64_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_uint64_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_uint64_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_uint64_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_int64_t.load_store 1: [ OK ] batch_bool_test/arm_int64_t.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_int64_t.any_all 1: [ OK ] batch_bool_test/arm_int64_t.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_int64_t.logical_operations 1: [ OK ] batch_bool_test/arm_int64_t.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_int64_t.bitwise_operations 1: [ OK ] batch_bool_test/arm_int64_t.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_int64_t (0 ms total) 1: 1: [----------] 4 tests from batch_bool_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] batch_bool_test/arm_float.load_store 1: [ OK ] batch_bool_test/arm_float.load_store (0 ms) 1: [ RUN ] batch_bool_test/arm_float.any_all 1: [ OK ] batch_bool_test/arm_float.any_all (0 ms) 1: [ RUN ] batch_bool_test/arm_float.logical_operations 1: [ OK ] batch_bool_test/arm_float.logical_operations (0 ms) 1: [ RUN ] batch_bool_test/arm_float.bitwise_operations 1: [ OK ] batch_bool_test/arm_float.bitwise_operations (0 ms) 1: [----------] 4 tests from batch_bool_test/arm_float (0 ms total) 1: 1: [----------] 12 tests from batch_complex_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] batch_complex_test/fallback_complex.load_store 1: [ OK ] batch_complex_test/fallback_complex.load_store (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.load_store_xtl 1: [ OK ] batch_complex_test/fallback_complex.load_store_xtl (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.constructors 1: [ OK ] batch_complex_test/fallback_complex.constructors (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.access_operator 1: [ OK ] batch_complex_test/fallback_complex.access_operator (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.arithmetic 1: [ OK ] batch_complex_test/fallback_complex.arithmetic (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.computed_assignment 1: [ OK ] batch_complex_test/fallback_complex.computed_assignment (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.conj_norm_proj 1: [ OK ] batch_complex_test/fallback_complex.conj_norm_proj (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.conj_norm_proj_real 1: [ OK ] batch_complex_test/fallback_complex.conj_norm_proj_real (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.horizontal_operations 1: [ OK ] batch_complex_test/fallback_complex.horizontal_operations (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.fused_operations 1: [ OK ] batch_complex_test/fallback_complex.fused_operations (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.boolean_conversion 1: [ OK ] batch_complex_test/fallback_complex.boolean_conversion (0 ms) 1: [ RUN ] batch_complex_test/fallback_complex.isnan 1: [ OK ] batch_complex_test/fallback_complex.isnan (0 ms) 1: [----------] 12 tests from batch_complex_test/fallback_complex (0 ms total) 1: 1: [----------] 3 tests from batch_float_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] batch_float_test/arm_float.reciprocal 1: [ OK ] batch_float_test/arm_float.reciprocal (0 ms) 1: [ RUN ] batch_float_test/arm_float.sqrt 1: [ OK ] batch_float_test/arm_float.sqrt (0 ms) 1: [ RUN ] batch_float_test/arm_float.haddp 1: [ OK ] batch_float_test/arm_float.haddp (0 ms) 1: [----------] 3 tests from batch_float_test/arm_float (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_uint8_t.modulo 1: [ OK ] batch_int_test/arm_uint8_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_uint8_t.shift 1: [ OK ] batch_int_test/arm_uint8_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint8_t.more_shift 1: [ OK ] batch_int_test/arm_uint8_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint8_t.min_max 1: [ OK ] batch_int_test/arm_uint8_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_uint8_t.less_than_underflow 1: [ OK ] batch_int_test/arm_uint8_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_uint8_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_int8_t.modulo 1: [ OK ] batch_int_test/arm_int8_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_int8_t.shift 1: [ OK ] batch_int_test/arm_int8_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_int8_t.more_shift 1: [ OK ] batch_int_test/arm_int8_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_int8_t.min_max 1: [ OK ] batch_int_test/arm_int8_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_int8_t.less_than_underflow 1: [ OK ] batch_int_test/arm_int8_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_int8_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_uint16_t.modulo 1: [ OK ] batch_int_test/arm_uint16_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_uint16_t.shift 1: [ OK ] batch_int_test/arm_uint16_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint16_t.more_shift 1: [ OK ] batch_int_test/arm_uint16_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint16_t.min_max 1: [ OK ] batch_int_test/arm_uint16_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_uint16_t.less_than_underflow 1: [ OK ] batch_int_test/arm_uint16_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_uint16_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_int16_t.modulo 1: [ OK ] batch_int_test/arm_int16_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_int16_t.shift 1: [ OK ] batch_int_test/arm_int16_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_int16_t.more_shift 1: [ OK ] batch_int_test/arm_int16_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_int16_t.min_max 1: [ OK ] batch_int_test/arm_int16_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_int16_t.less_than_underflow 1: [ OK ] batch_int_test/arm_int16_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_int16_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_uint32_t.modulo 1: [ OK ] batch_int_test/arm_uint32_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_uint32_t.shift 1: [ OK ] batch_int_test/arm_uint32_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint32_t.more_shift 1: [ OK ] batch_int_test/arm_uint32_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint32_t.min_max 1: [ OK ] batch_int_test/arm_uint32_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_uint32_t.less_than_underflow 1: [ OK ] batch_int_test/arm_uint32_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_uint32_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_int32_t.modulo 1: [ OK ] batch_int_test/arm_int32_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_int32_t.shift 1: [ OK ] batch_int_test/arm_int32_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_int32_t.more_shift 1: [ OK ] batch_int_test/arm_int32_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_int32_t.min_max 1: [ OK ] batch_int_test/arm_int32_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_int32_t.less_than_underflow 1: [ OK ] batch_int_test/arm_int32_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_int32_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_uint64_t.modulo 1: [ OK ] batch_int_test/arm_uint64_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_uint64_t.shift 1: [ OK ] batch_int_test/arm_uint64_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint64_t.more_shift 1: [ OK ] batch_int_test/arm_uint64_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_uint64_t.min_max 1: [ OK ] batch_int_test/arm_uint64_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_uint64_t.less_than_underflow 1: [ OK ] batch_int_test/arm_uint64_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_uint64_t (0 ms total) 1: 1: [----------] 5 tests from batch_int_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] batch_int_test/arm_int64_t.modulo 1: [ OK ] batch_int_test/arm_int64_t.modulo (0 ms) 1: [ RUN ] batch_int_test/arm_int64_t.shift 1: [ OK ] batch_int_test/arm_int64_t.shift (0 ms) 1: [ RUN ] batch_int_test/arm_int64_t.more_shift 1: [ OK ] batch_int_test/arm_int64_t.more_shift (0 ms) 1: [ RUN ] batch_int_test/arm_int64_t.min_max 1: [ OK ] batch_int_test/arm_int64_t.min_max (0 ms) 1: [ RUN ] batch_int_test/arm_int64_t.less_than_underflow 1: [ OK ] batch_int_test/arm_int64_t.less_than_underflow (0 ms) 1: [----------] 5 tests from batch_int_test/arm_int64_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_uint8_t.init_from_generator 1: [ OK ] constant_batch_test/arm_uint8_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_uint8_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_uint8_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_uint8_t.init_from_constant 1: [ OK ] constant_batch_test/arm_uint8_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_uint8_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_int8_t.init_from_generator 1: [ OK ] constant_batch_test/arm_int8_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_int8_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_int8_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_int8_t.init_from_constant 1: [ OK ] constant_batch_test/arm_int8_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_int8_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_uint16_t.init_from_generator 1: [ OK ] constant_batch_test/arm_uint16_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_uint16_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_uint16_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_uint16_t.init_from_constant 1: [ OK ] constant_batch_test/arm_uint16_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_uint16_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_int16_t.init_from_generator 1: [ OK ] constant_batch_test/arm_int16_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_int16_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_int16_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_int16_t.init_from_constant 1: [ OK ] constant_batch_test/arm_int16_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_int16_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_uint32_t.init_from_generator 1: [ OK ] constant_batch_test/arm_uint32_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_uint32_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_uint32_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_uint32_t.init_from_constant 1: [ OK ] constant_batch_test/arm_uint32_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_uint32_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_int32_t.init_from_generator 1: [ OK ] constant_batch_test/arm_int32_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_int32_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_int32_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_int32_t.init_from_constant 1: [ OK ] constant_batch_test/arm_int32_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_int32_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_uint64_t.init_from_generator 1: [ OK ] constant_batch_test/arm_uint64_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_uint64_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_uint64_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_uint64_t.init_from_constant 1: [ OK ] constant_batch_test/arm_uint64_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_uint64_t (0 ms total) 1: 1: [----------] 3 tests from constant_batch_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_batch_test/arm_int64_t.init_from_generator 1: [ OK ] constant_batch_test/arm_int64_t.init_from_generator (0 ms) 1: [ RUN ] constant_batch_test/arm_int64_t.init_from_generator_arange 1: [ OK ] constant_batch_test/arm_int64_t.init_from_generator_arange (0 ms) 1: [ RUN ] constant_batch_test/arm_int64_t.init_from_constant 1: [ OK ] constant_batch_test/arm_int64_t.init_from_constant (0 ms) 1: [----------] 3 tests from constant_batch_test/arm_int64_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_uint8_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_uint8_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_uint8_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_uint8_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_uint8_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_int8_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_int8_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_int8_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_int8_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_int8_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_uint16_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_uint16_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_uint16_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_uint16_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_uint16_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_int16_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_int16_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_int16_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_int16_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_int16_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_uint32_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_uint32_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_uint32_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_uint32_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_uint32_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_int32_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_int32_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_int32_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_int32_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_int32_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_uint64_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_uint64_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_uint64_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_uint64_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_uint64_t (0 ms total) 1: 1: [----------] 2 tests from constant_bool_batch_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] constant_bool_batch_test/arm_int64_t.init_from_generator 1: [ OK ] constant_bool_batch_test/arm_int64_t.init_from_generator (0 ms) 1: [ RUN ] constant_bool_batch_test/arm_int64_t.init_from_generator_split 1: [ OK ] constant_bool_batch_test/arm_int64_t.init_from_generator_split (0 ms) 1: [----------] 2 tests from constant_bool_batch_test/arm_int64_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_uint8_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_uint8_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_uint8_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_uint8_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_uint8_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_int8_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_int8_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_int8_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_int8_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_int8_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_uint16_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_uint16_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_uint16_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_uint16_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_uint16_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_int16_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_int16_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_int16_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_int16_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_int16_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_uint32_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_uint32_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_uint32_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_uint32_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_uint32_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_int32_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_int32_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_int32_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_int32_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_int32_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_uint64_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_uint64_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_uint64_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_uint64_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_uint64_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_int64_t.insert_first 1: insert tests 1: [ OK ] insert_test/arm_int64_t.insert_first (0 ms) 1: [ RUN ] insert_test/arm_int64_t.insert_last 1: insert tests 1: [ OK ] insert_test/arm_int64_t.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_int64_t (0 ms total) 1: 1: [----------] 2 tests from insert_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] insert_test/arm_float.insert_first 1: insert tests 1: [ OK ] insert_test/arm_float.insert_first (0 ms) 1: [ RUN ] insert_test/arm_float.insert_last 1: insert tests 1: [ OK ] insert_test/arm_float.insert_last (0 ms) 1: [----------] 2 tests from insert_test/arm_float (0 ms total) 1: 1: [----------] 3 tests from swizzle_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] swizzle_test/arm_float.swizzle_reverse 1: swizzle tests 1: [ OK ] swizzle_test/arm_float.swizzle_reverse (0 ms) 1: [ RUN ] swizzle_test/arm_float.swizzle_fill 1: swizzle tests 1: [ OK ] swizzle_test/arm_float.swizzle_fill (0 ms) 1: [ RUN ] swizzle_test/arm_float.swizzle_dup 1: swizzle tests 1: [ OK ] swizzle_test/arm_float.swizzle_dup (0 ms) 1: [----------] 3 tests from swizzle_test/arm_float (0 ms total) 1: 1: [----------] 3 tests from swizzle_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] swizzle_test/arm_uint32_t.swizzle_reverse 1: swizzle tests 1: [ OK ] swizzle_test/arm_uint32_t.swizzle_reverse (0 ms) 1: [ RUN ] swizzle_test/arm_uint32_t.swizzle_fill 1: swizzle tests 1: [ OK ] swizzle_test/arm_uint32_t.swizzle_fill (0 ms) 1: [ RUN ] swizzle_test/arm_uint32_t.swizzle_dup 1: swizzle tests 1: [ OK ] swizzle_test/arm_uint32_t.swizzle_dup (0 ms) 1: [----------] 3 tests from swizzle_test/arm_uint32_t (0 ms total) 1: 1: [----------] 3 tests from swizzle_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] swizzle_test/arm_int32_t.swizzle_reverse 1: swizzle tests 1: [ OK ] swizzle_test/arm_int32_t.swizzle_reverse (0 ms) 1: [ RUN ] swizzle_test/arm_int32_t.swizzle_fill 1: swizzle tests 1: [ OK ] swizzle_test/arm_int32_t.swizzle_fill (0 ms) 1: [ RUN ] swizzle_test/arm_int32_t.swizzle_dup 1: swizzle tests 1: [ OK ] swizzle_test/arm_int32_t.swizzle_dup (0 ms) 1: [----------] 3 tests from swizzle_test/arm_int32_t (0 ms total) 1: 1: [----------] 3 tests from swizzle_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] swizzle_test/arm_uint64_t.swizzle_reverse 1: swizzle tests 1: [ OK ] swizzle_test/arm_uint64_t.swizzle_reverse (0 ms) 1: [ RUN ] swizzle_test/arm_uint64_t.swizzle_fill 1: swizzle tests 1: [ OK ] swizzle_test/arm_uint64_t.swizzle_fill (0 ms) 1: [ RUN ] swizzle_test/arm_uint64_t.swizzle_dup 1: swizzle tests 1: [ OK ] swizzle_test/arm_uint64_t.swizzle_dup (0 ms) 1: [----------] 3 tests from swizzle_test/arm_uint64_t (0 ms total) 1: 1: [----------] 3 tests from swizzle_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] swizzle_test/arm_int64_t.swizzle_reverse 1: swizzle tests 1: [ OK ] swizzle_test/arm_int64_t.swizzle_reverse (0 ms) 1: [ RUN ] swizzle_test/arm_int64_t.swizzle_fill 1: swizzle tests 1: [ OK ] swizzle_test/arm_int64_t.swizzle_fill (0 ms) 1: [ RUN ] swizzle_test/arm_int64_t.swizzle_dup 1: swizzle tests 1: [ OK ] swizzle_test/arm_int64_t.swizzle_dup (0 ms) 1: [----------] 3 tests from swizzle_test/arm_int64_t (0 ms total) 1: 1: [----------] 3 tests from swizzle_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] swizzle_test/fallback_complex.swizzle_reverse 1: swizzle tests 1: [ OK ] swizzle_test/fallback_complex.swizzle_reverse (0 ms) 1: [ RUN ] swizzle_test/fallback_complex.swizzle_fill 1: swizzle tests 1: [ OK ] swizzle_test/fallback_complex.swizzle_fill (0 ms) 1: [ RUN ] swizzle_test/fallback_complex.swizzle_dup 1: swizzle tests 1: [ OK ] swizzle_test/fallback_complex.swizzle_dup (0 ms) 1: [----------] 3 tests from swizzle_test/fallback_complex (0 ms total) 1: 1: [----------] 8 tests from complex_exponential_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] complex_exponential_test/fallback_complex.exp 1: [ OK ] complex_exponential_test/fallback_complex.exp (24 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.expm1 1: [ OK ] complex_exponential_test/fallback_complex.expm1 (27 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.huge_exp 1: [ OK ] complex_exponential_test/fallback_complex.huge_exp (28 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.log 1: [ OK ] complex_exponential_test/fallback_complex.log (28 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.log2 1: [ OK ] complex_exponential_test/fallback_complex.log2 (29 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.log10 1: [ OK ] complex_exponential_test/fallback_complex.log10 (30 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.log1p 1: [ OK ] complex_exponential_test/fallback_complex.log1p (36 ms) 1: [ RUN ] complex_exponential_test/fallback_complex.sign 1: [ OK ] complex_exponential_test/fallback_complex.sign (8 ms) 1: [----------] 8 tests from complex_exponential_test/fallback_complex (215 ms total) 1: 1: [----------] 6 tests from complex_hyperbolic_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] complex_hyperbolic_test/fallback_complex.sinh 1: [ OK ] complex_hyperbolic_test/fallback_complex.sinh (38 ms) 1: [ RUN ] complex_hyperbolic_test/fallback_complex.cosh 1: [ OK ] complex_hyperbolic_test/fallback_complex.cosh (38 ms) 1: [ RUN ] complex_hyperbolic_test/fallback_complex.tanh 1: [ OK ] complex_hyperbolic_test/fallback_complex.tanh (41 ms) 1: [ RUN ] complex_hyperbolic_test/fallback_complex.asinh 1: [ OK ] complex_hyperbolic_test/fallback_complex.asinh (49 ms) 1: [ RUN ] complex_hyperbolic_test/fallback_complex.acosh 1: [ OK ] complex_hyperbolic_test/fallback_complex.acosh (49 ms) 1: [ RUN ] complex_hyperbolic_test/fallback_complex.atanh 1: [ OK ] complex_hyperbolic_test/fallback_complex.atanh (36 ms) 1: [----------] 6 tests from complex_hyperbolic_test/fallback_complex (254 ms total) 1: 1: [----------] 7 tests from complex_power_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] complex_power_test/fallback_complex.abs 1: [ OK ] complex_power_test/fallback_complex.abs (13 ms) 1: [ RUN ] complex_power_test/fallback_complex.arg 1: [ OK ] complex_power_test/fallback_complex.arg (22 ms) 1: [ RUN ] complex_power_test/fallback_complex.pow 1: [ OK ] complex_power_test/fallback_complex.pow (7 ms) 1: [ RUN ] complex_power_test/fallback_complex.sqrt_nn 1: [ OK ] complex_power_test/fallback_complex.sqrt_nn (26 ms) 1: [ RUN ] complex_power_test/fallback_complex.sqrt_pn 1: [ OK ] complex_power_test/fallback_complex.sqrt_pn (25 ms) 1: [ RUN ] complex_power_test/fallback_complex.sqrt_np 1: [ OK ] complex_power_test/fallback_complex.sqrt_np (26 ms) 1: [ RUN ] complex_power_test/fallback_complex.sqrt_pp 1: [ OK ] complex_power_test/fallback_complex.sqrt_pp (25 ms) 1: [----------] 7 tests from complex_power_test/fallback_complex (149 ms total) 1: 1: [----------] 7 tests from complex_trigonometric_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] complex_trigonometric_test/fallback_complex.sin 1: [ OK ] complex_trigonometric_test/fallback_complex.sin (40 ms) 1: [ RUN ] complex_trigonometric_test/fallback_complex.cos 1: [ OK ] complex_trigonometric_test/fallback_complex.cos (39 ms) 1: [ RUN ] complex_trigonometric_test/fallback_complex.sincos 1: [ OK ] complex_trigonometric_test/fallback_complex.sincos (60 ms) 1: [ RUN ] complex_trigonometric_test/fallback_complex.tan 1: [ OK ] complex_trigonometric_test/fallback_complex.tan (4 ms) 1: [ RUN ] complex_trigonometric_test/fallback_complex.asin 1: [ OK ] complex_trigonometric_test/fallback_complex.asin (50 ms) 1: [ RUN ] complex_trigonometric_test/fallback_complex.acos 1: [ OK ] complex_trigonometric_test/fallback_complex.acos (50 ms) 1: [ RUN ] complex_trigonometric_test/fallback_complex.atan 1: [ OK ] complex_trigonometric_test/fallback_complex.atan (34 ms) 1: [----------] 7 tests from complex_trigonometric_test/fallback_complex (280 ms total) 1: 1: [----------] 2 tests from error_gamma_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] error_gamma_test/arm_float.error 1: [ OK ] error_gamma_test/arm_float.error (22 ms) 1: [ RUN ] error_gamma_test/arm_float.gamma 1: [ OK ] error_gamma_test/arm_float.gamma (133 ms) 1: [----------] 2 tests from error_gamma_test/arm_float (155 ms total) 1: 1: [----------] 2 tests from exponential_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] exponential_test/arm_float.exp 1: [ OK ] exponential_test/arm_float.exp (31 ms) 1: [ RUN ] exponential_test/arm_float.log 1: [ OK ] exponential_test/arm_float.log (31 ms) 1: [----------] 2 tests from exponential_test/arm_float (63 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_uint8_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_uint8_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_uint8_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_int8_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_int8_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_int8_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_uint16_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_uint16_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_uint16_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_int16_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_int16_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_int16_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_uint32_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_uint32_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_uint32_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_int32_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_int32_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_int32_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_uint64_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_uint64_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_uint64_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_int64_t.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_int64_t.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_int64_t (0 ms total) 1: 1: [----------] 1 test from extract_pair_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] extract_pair_test/arm_float.extract_pair_128 1: shffle_extract_pair tests 1: [ OK ] extract_pair_test/arm_float.extract_pair_128 (0 ms) 1: [----------] 1 test from extract_pair_test/arm_float (0 ms total) 1: 1: [----------] 1 test from fp_manipulation_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] fp_manipulation_test/arm_float.fp_manipulations 1: [ OK ] fp_manipulation_test/arm_float.fp_manipulations (0 ms) 1: [----------] 1 test from fp_manipulation_test/arm_float (0 ms total) 1: 1: [----------] 2 tests from hyperbolic_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] hyperbolic_test/arm_float.hyperbolic 1: [ OK ] hyperbolic_test/arm_float.hyperbolic (41 ms) 1: [ RUN ] hyperbolic_test/arm_float.reciprocal 1: [ OK ] hyperbolic_test/arm_float.reciprocal (43 ms) 1: [----------] 2 tests from hyperbolic_test/arm_float (84 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_uint8_t.load 1: [ OK ] load_store_test/arm_uint8_t.load (0 ms) 1: [ RUN ] load_store_test/arm_uint8_t.store 1: [ OK ] load_store_test/arm_uint8_t.store (0 ms) 1: [ RUN ] load_store_test/arm_uint8_t.gather 1: [ OK ] load_store_test/arm_uint8_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_uint8_t.scatter 1: [ OK ] load_store_test/arm_uint8_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_uint8_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_int8_t.load 1: [ OK ] load_store_test/arm_int8_t.load (0 ms) 1: [ RUN ] load_store_test/arm_int8_t.store 1: [ OK ] load_store_test/arm_int8_t.store (0 ms) 1: [ RUN ] load_store_test/arm_int8_t.gather 1: [ OK ] load_store_test/arm_int8_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_int8_t.scatter 1: [ OK ] load_store_test/arm_int8_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_int8_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_uint16_t.load 1: [ OK ] load_store_test/arm_uint16_t.load (0 ms) 1: [ RUN ] load_store_test/arm_uint16_t.store 1: [ OK ] load_store_test/arm_uint16_t.store (0 ms) 1: [ RUN ] load_store_test/arm_uint16_t.gather 1: [ OK ] load_store_test/arm_uint16_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_uint16_t.scatter 1: [ OK ] load_store_test/arm_uint16_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_uint16_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_int16_t.load 1: [ OK ] load_store_test/arm_int16_t.load (0 ms) 1: [ RUN ] load_store_test/arm_int16_t.store 1: [ OK ] load_store_test/arm_int16_t.store (0 ms) 1: [ RUN ] load_store_test/arm_int16_t.gather 1: [ OK ] load_store_test/arm_int16_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_int16_t.scatter 1: [ OK ] load_store_test/arm_int16_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_int16_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_uint32_t.load 1: [ OK ] load_store_test/arm_uint32_t.load (0 ms) 1: [ RUN ] load_store_test/arm_uint32_t.store 1: [ OK ] load_store_test/arm_uint32_t.store (0 ms) 1: [ RUN ] load_store_test/arm_uint32_t.gather 1: [ OK ] load_store_test/arm_uint32_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_uint32_t.scatter 1: [ OK ] load_store_test/arm_uint32_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_uint32_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_int32_t.load 1: [ OK ] load_store_test/arm_int32_t.load (0 ms) 1: [ RUN ] load_store_test/arm_int32_t.store 1: [ OK ] load_store_test/arm_int32_t.store (0 ms) 1: [ RUN ] load_store_test/arm_int32_t.gather 1: [ OK ] load_store_test/arm_int32_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_int32_t.scatter 1: [ OK ] load_store_test/arm_int32_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_int32_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_uint64_t.load 1: [ OK ] load_store_test/arm_uint64_t.load (0 ms) 1: [ RUN ] load_store_test/arm_uint64_t.store 1: [ OK ] load_store_test/arm_uint64_t.store (0 ms) 1: [ RUN ] load_store_test/arm_uint64_t.gather 1: [ OK ] load_store_test/arm_uint64_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_uint64_t.scatter 1: [ OK ] load_store_test/arm_uint64_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_uint64_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_int64_t.load 1: [ OK ] load_store_test/arm_int64_t.load (0 ms) 1: [ RUN ] load_store_test/arm_int64_t.store 1: [ OK ] load_store_test/arm_int64_t.store (0 ms) 1: [ RUN ] load_store_test/arm_int64_t.gather 1: [ OK ] load_store_test/arm_int64_t.gather (0 ms) 1: [ RUN ] load_store_test/arm_int64_t.scatter 1: [ OK ] load_store_test/arm_int64_t.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_int64_t (0 ms total) 1: 1: [----------] 4 tests from load_store_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] load_store_test/arm_float.load 1: [ OK ] load_store_test/arm_float.load (0 ms) 1: [ RUN ] load_store_test/arm_float.store 1: [ OK ] load_store_test/arm_float.store (0 ms) 1: [ RUN ] load_store_test/arm_float.gather 1: [ OK ] load_store_test/arm_float.gather (0 ms) 1: [ RUN ] load_store_test/arm_float.scatter 1: [ OK ] load_store_test/arm_float.scatter (0 ms) 1: [----------] 4 tests from load_store_test/arm_float (0 ms total) 1: 1: [----------] 1 test from xsimd 1: [ RUN ] xsimd.alignment 1: [ OK ] xsimd.alignment (0 ms) 1: [----------] 1 test from xsimd (0 ms total) 1: 1: [----------] 1 test from poly_evaluation_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] poly_evaluation_test/arm_float.poly_evaluation 1: [ OK ] poly_evaluation_test/arm_float.poly_evaluation (7 ms) 1: [----------] 1 test from poly_evaluation_test/arm_float (7 ms total) 1: 1: [----------] 1 test from power_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] power_test/arm_float.power 1: [ OK ] power_test/arm_float.power (56 ms) 1: [----------] 1 test from power_test/arm_float (56 ms total) 1: 1: [----------] 1 test from rounding_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] rounding_test/arm_float.rounding 1: [ OK ] rounding_test/arm_float.rounding (0 ms) 1: [----------] 1 test from rounding_test/arm_float (0 ms total) 1: 1: [----------] 2 tests from select_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_uint8_t.select_dynamic 1: [ OK ] select_test/arm_uint8_t.select_dynamic (11 ms) 1: [ RUN ] select_test/arm_uint8_t.select_static 1: [ OK ] select_test/arm_uint8_t.select_static (12 ms) 1: [----------] 2 tests from select_test/arm_uint8_t (24 ms total) 1: 1: [----------] 2 tests from select_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_int8_t.select_dynamic 1: [ OK ] select_test/arm_int8_t.select_dynamic (16 ms) 1: [ RUN ] select_test/arm_int8_t.select_static 1: [ OK ] select_test/arm_int8_t.select_static (17 ms) 1: [----------] 2 tests from select_test/arm_int8_t (34 ms total) 1: 1: [----------] 2 tests from select_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_uint16_t.select_dynamic 1: [ OK ] select_test/arm_uint16_t.select_dynamic (6 ms) 1: [ RUN ] select_test/arm_uint16_t.select_static 1: [ OK ] select_test/arm_uint16_t.select_static (6 ms) 1: [----------] 2 tests from select_test/arm_uint16_t (13 ms total) 1: 1: [----------] 2 tests from select_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_int16_t.select_dynamic 1: [ OK ] select_test/arm_int16_t.select_dynamic (8 ms) 1: [ RUN ] select_test/arm_int16_t.select_static 1: [ OK ] select_test/arm_int16_t.select_static (8 ms) 1: [----------] 2 tests from select_test/arm_int16_t (16 ms total) 1: 1: [----------] 2 tests from select_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_uint32_t.select_dynamic 1: [ OK ] select_test/arm_uint32_t.select_dynamic (4 ms) 1: [ RUN ] select_test/arm_uint32_t.select_static 1: [ OK ] select_test/arm_uint32_t.select_static (4 ms) 1: [----------] 2 tests from select_test/arm_uint32_t (8 ms total) 1: 1: [----------] 2 tests from select_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_int32_t.select_dynamic 1: [ OK ] select_test/arm_int32_t.select_dynamic (4 ms) 1: [ RUN ] select_test/arm_int32_t.select_static 1: [ OK ] select_test/arm_int32_t.select_static (4 ms) 1: [----------] 2 tests from select_test/arm_int32_t (8 ms total) 1: 1: [----------] 2 tests from select_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_uint64_t.select_dynamic 1: [ OK ] select_test/arm_uint64_t.select_dynamic (5 ms) 1: [ RUN ] select_test/arm_uint64_t.select_static 1: [ OK ] select_test/arm_uint64_t.select_static (5 ms) 1: [----------] 2 tests from select_test/arm_uint64_t (11 ms total) 1: 1: [----------] 2 tests from select_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_int64_t.select_dynamic 1: [ OK ] select_test/arm_int64_t.select_dynamic (6 ms) 1: [ RUN ] select_test/arm_int64_t.select_static 1: [ OK ] select_test/arm_int64_t.select_static (6 ms) 1: [----------] 2 tests from select_test/arm_int64_t (13 ms total) 1: 1: [----------] 2 tests from select_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] select_test/arm_float.select_dynamic 1: [ OK ] select_test/arm_float.select_dynamic (4 ms) 1: [ RUN ] select_test/arm_float.select_static 1: [ OK ] select_test/arm_float.select_static (4 ms) 1: [----------] 2 tests from select_test/arm_float (9 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_uint8_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_uint8_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_uint8_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_int8_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_int8_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_int8_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_uint16_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_uint16_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_uint16_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_int16_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_int16_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_int16_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_uint32_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_uint32_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_uint32_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_int32_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_int32_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_int32_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_uint64_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_uint64_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_uint64_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_int64_t.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_int64_t.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_int64_t (0 ms total) 1: 1: [----------] 1 test from shuffle_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] shuffle_test/arm_float.shuffle_low_high 1: shuffle-128 test 1: [ OK ] shuffle_test/arm_float.shuffle_low_high (0 ms) 1: [----------] 1 test from shuffle_test/arm_float (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_uint8_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_uint8_t.simd_traits 1: [ OK ] traits_test/arm_uint8_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint8_t.revert_simd_traits 1: [ OK ] traits_test/arm_uint8_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint8_t.simd_return_type 1: [ OK ] traits_test/arm_uint8_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_uint8_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_int8_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_int8_t.simd_traits 1: [ OK ] traits_test/arm_int8_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int8_t.revert_simd_traits 1: [ OK ] traits_test/arm_int8_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int8_t.simd_return_type 1: [ OK ] traits_test/arm_int8_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_int8_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_uint16_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_uint16_t.simd_traits 1: [ OK ] traits_test/arm_uint16_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint16_t.revert_simd_traits 1: [ OK ] traits_test/arm_uint16_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint16_t.simd_return_type 1: [ OK ] traits_test/arm_uint16_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_uint16_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_int16_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_int16_t.simd_traits 1: [ OK ] traits_test/arm_int16_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int16_t.revert_simd_traits 1: [ OK ] traits_test/arm_int16_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int16_t.simd_return_type 1: [ OK ] traits_test/arm_int16_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_int16_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_uint32_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_uint32_t.simd_traits 1: [ OK ] traits_test/arm_uint32_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint32_t.revert_simd_traits 1: [ OK ] traits_test/arm_uint32_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint32_t.simd_return_type 1: [ OK ] traits_test/arm_uint32_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_uint32_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_int32_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_int32_t.simd_traits 1: [ OK ] traits_test/arm_int32_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int32_t.revert_simd_traits 1: [ OK ] traits_test/arm_int32_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int32_t.simd_return_type 1: [ OK ] traits_test/arm_int32_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_int32_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_uint64_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_uint64_t.simd_traits 1: [ OK ] traits_test/arm_uint64_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint64_t.revert_simd_traits 1: [ OK ] traits_test/arm_uint64_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_uint64_t.simd_return_type 1: [ OK ] traits_test/arm_uint64_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_uint64_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_int64_t, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_int64_t.simd_traits 1: [ OK ] traits_test/arm_int64_t.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int64_t.revert_simd_traits 1: [ OK ] traits_test/arm_int64_t.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_int64_t.simd_return_type 1: [ OK ] traits_test/arm_int64_t.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_int64_t (0 ms total) 1: 1: [----------] 3 tests from traits_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] traits_test/arm_float.simd_traits 1: [ OK ] traits_test/arm_float.simd_traits (0 ms) 1: [ RUN ] traits_test/arm_float.revert_simd_traits 1: [ OK ] traits_test/arm_float.revert_simd_traits (0 ms) 1: [ RUN ] traits_test/arm_float.simd_return_type 1: [ OK ] traits_test/arm_float.simd_return_type (0 ms) 1: [----------] 3 tests from traits_test/arm_float (0 ms total) 1: 1: [----------] 3 tests from complex_traits_test/fallback_complex, where TypeParam = xsimd::batch, xsimd::neon> 1: [ RUN ] complex_traits_test/fallback_complex.simd_traits 1: [ OK ] complex_traits_test/fallback_complex.simd_traits (0 ms) 1: [ RUN ] complex_traits_test/fallback_complex.revert_simd_traits 1: [ OK ] complex_traits_test/fallback_complex.revert_simd_traits (0 ms) 1: [ RUN ] complex_traits_test/fallback_complex.simd_return_type 1: [ OK ] complex_traits_test/fallback_complex.simd_return_type (0 ms) 1: [----------] 3 tests from complex_traits_test/fallback_complex (0 ms total) 1: 1: [----------] 2 tests from trigonometric_test/arm_float, where TypeParam = xsimd::batch 1: [ RUN ] trigonometric_test/arm_float.trigonometric 1: [ OK ] trigonometric_test/arm_float.trigonometric (48 ms) 1: [ RUN ] trigonometric_test/arm_float.reciprocal 1: [ OK ] trigonometric_test/arm_float.reciprocal (38 ms) 1: [----------] 2 tests from trigonometric_test/arm_float (86 ms total) 1: 1: [----------] Global test environment tear-down 1: [==========] 490 tests from 133 test suites ran. (1512 ms total) 1: [ PASSED ] 490 tests. 1/1 Test #1: test_xsimd ....................... Passed 1.55 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 1.56 sec make[1]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' create-stamp debian/debhelper-build-stamp dh_prep dh_auto_install cd obj-arm-linux-gnueabihf && make -j5 install DESTDIR=/build/xsimd-8.1.0/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[1]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' /usr/bin/cmake -S/build/xsimd-8.1.0 -B/build/xsimd-8.1.0/obj-arm-linux-gnueabihf --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall make[2]: Entering directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' make[2]: Nothing to be done for 'preinstall'. make[2]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/xsimd.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/config -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/config/xsimd_config.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/config/xsimd_arch.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/config/xsimd_cpuid.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/math -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/math/xsimd_rem_pio2.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_fma3_avx.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_neon64.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_avx.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_generic.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_constants.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_avx512f.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_avx512dq.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_ssse3.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_sse2.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_math.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_arithmetic.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_logical.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_memory.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_details.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_complex.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_rounding.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/generic/xsimd_generic_trigo.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_sse4_1.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_fma3_avx2.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_fma4.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_sse3.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_neon.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_fma3_sse.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_sse4_2.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_avx512bw.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_isa.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_avx2.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_scalar.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_generic_fwd.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/arch/xsimd_avx512cd.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/memory -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/memory/xsimd_aligned_allocator.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/memory/xsimd_alignment.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_avx2_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_avx512cd_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_avx512bw_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_neon64_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_traits.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_fma3_sse_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_sse2_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_avx512f_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_sse4_2_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_avx_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_sse4_1_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_sse3_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_all_registers.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_fma3_avx_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_batch.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_neon_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_ssse3_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_avx512dq_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_generic_arch.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_fma3_avx2_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_fma4_register.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_utils.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_batch_constant.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/types/xsimd_api.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/stl -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/include/xsimd/stl/algorithms.hpp -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/lib/arm-linux-gnueabihf/cmake/xsimd/xsimdConfig.cmake -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/lib/arm-linux-gnueabihf/cmake/xsimd/xsimdConfigVersion.cmake -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/lib/arm-linux-gnueabihf/cmake/xsimd/xsimdTargets.cmake -- Installing: /build/xsimd-8.1.0/debian/tmp/usr/lib/arm-linux-gnueabihf/pkgconfig/xsimd.pc make[1]: Leaving directory '/build/xsimd-8.1.0/obj-arm-linux-gnueabihf' dh_install dh_installdocs dh_sphinxdoc dh_installchangelogs dh_installexamples dh_perl dh_link dh_strip_nondeterminism dh_compress dh_fixperms dh_missing dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dpkg-gencontrol: warning: package libxsimd-doc: substitution variable ${sphinxdoc:Built-Using} unused, but is defined dh_md5sums dh_builddeb dpkg-deb: building package 'libxsimd-doc' in '../libxsimd-doc_8.1.0-7_all.deb'. dpkg-deb: building package 'libxsimd-dev' in '../libxsimd-dev_8.1.0-7_armhf.deb'. dpkg-genbuildinfo --build=binary -O../xsimd_8.1.0-7_armhf.buildinfo dpkg-genchanges --build=binary -O../xsimd_8.1.0-7_armhf.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/32074 and its subdirectories I: Current time: Tue May 30 01:52:20 -12 2023 I: pbuilder-time-stamp: 1685454740 Tue May 30 13:52:27 UTC 2023 I: 1st build successful. Starting 2nd build on remote node virt32c-armhf-rb.debian.net. Tue May 30 13:52:27 UTC 2023 I: Preparing to do remote build '2' on virt32c-armhf-rb.debian.net. Tue May 30 14:26:46 UTC 2023 I: Deleting $TMPDIR on virt32c-armhf-rb.debian.net. Tue May 30 14:26:48 UTC 2023 I: xsimd_8.1.0-7_armhf.changes: Format: 1.8 Date: Mon, 11 Jul 2022 09:26:15 +0200 Source: xsimd Binary: libxsimd-dev libxsimd-doc Architecture: armhf all Version: 8.1.0-7 Distribution: unstable Urgency: medium Maintainer: Debian Science Maintainers Changed-By: Julien Lamy Description: libxsimd-dev - C++ wrappers for SIMD intrinsics libxsimd-doc - Documentation for xsimd Changes: xsimd (8.1.0-7) unstable; urgency=medium . * Mark as incompatible with pythran < 0.11 Checksums-Sha1: f172e5341f0f34e3bc752c151129180e6058abb5 88824 libxsimd-dev_8.1.0-7_armhf.deb 98ec06a6b69053d968f462fc62c67f3e9413a40a 85488 libxsimd-doc_8.1.0-7_all.deb 1ab51172f6d0a71cabf7538cfa3912ebe8d3d219 9276 xsimd_8.1.0-7_armhf.buildinfo Checksums-Sha256: c49c8c7d19a4a67942eaf8133678f0e97b6c35c96c5f9d6f4db6f3bb16e06351 88824 libxsimd-dev_8.1.0-7_armhf.deb f61c8366c352a277abb2ce586d896bced5674e448b0d16cd19ec5f23ef6b90f7 85488 libxsimd-doc_8.1.0-7_all.deb 14700d1f73e810e9f31acfb8dfeebf8d0864e00bdff1b4d8782a28fec705f758 9276 xsimd_8.1.0-7_armhf.buildinfo Files: 52195a1d395891b44da4fd40cc1d74fc 88824 libdevel optional libxsimd-dev_8.1.0-7_armhf.deb 78a2e978def8e9adbe2ea366602d6cd2 85488 doc optional libxsimd-doc_8.1.0-7_all.deb 24643e11fba7f60ba355dde022e0c4c5 9276 science optional xsimd_8.1.0-7_armhf.buildinfo Tue May 30 14:26:49 UTC 2023 I: diffoscope 242 will be used to compare the two builds: # Profiling output for: /usr/bin/diffoscope --timeout 7200 --html /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/xsimd_8.1.0-7.diffoscope.html --text /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/xsimd_8.1.0-7.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/xsimd_8.1.0-7.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/b1/xsimd_8.1.0-7_armhf.changes /srv/reproducible-results/rbuild-debian/r-b-build.EVqtBhZT/b2/xsimd_8.1.0-7_armhf.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 0.308s) 0.308s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 0.017s) 0.017s 12 calls diffoscope.comparators.binary.FilesystemFile 0.000s 10 calls abc.DotChangesFile ## specialize (total time: 0.000s) 0.000s 1 call specialize Tue May 30 14:26:50 UTC 2023 I: diffoscope 242 found no differences in the changes files, and a .buildinfo file also exists. Tue May 30 14:26:50 UTC 2023 I: xsimd from bookworm built successfully and reproducibly on armhf. Tue May 30 14:26:51 UTC 2023 I: Submitting .buildinfo files to external archives: Tue May 30 14:26:51 UTC 2023 I: Submitting 12K b1/xsimd_8.1.0-7_armhf.buildinfo.asc Tue May 30 14:26:52 UTC 2023 I: Submitting 12K b2/xsimd_8.1.0-7_armhf.buildinfo.asc Tue May 30 14:26:53 UTC 2023 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Tue May 30 14:26:53 UTC 2023 I: Done submitting .buildinfo files. Tue May 30 14:26:53 UTC 2023 I: Removing signed xsimd_8.1.0-7_armhf.buildinfo.asc files: removed './b1/xsimd_8.1.0-7_armhf.buildinfo.asc' removed './b2/xsimd_8.1.0-7_armhf.buildinfo.asc'