Sun Jan 28 03:24:07 UTC 2024 I: starting to build haskell-clash-lib/bookworm/amd64 on jenkins on '2024-01-28 03:23' Sun Jan 28 03:24:07 UTC 2024 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/amd64_6/13406/console.log Sun Jan 28 03:24:07 UTC 2024 I: Downloading source for bookworm/haskell-clash-lib=1.6.4-1 --2024-01-28 03:24:07-- http://cdn-fastly.deb.debian.org/debian/pool/main/h/haskell-clash-lib/haskell-clash-lib_1.6.4-1.dsc Connecting to 78.137.99.97:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 6229 (6.1K) [text/prs.lines.tag] Saving to: ‘haskell-clash-lib_1.6.4-1.dsc’ 0K ...... 100% 31.9M=0s 2024-01-28 03:24:07 (31.9 MB/s) - ‘haskell-clash-lib_1.6.4-1.dsc’ saved [6229/6229] Sun Jan 28 03:24:07 UTC 2024 I: haskell-clash-lib_1.6.4-1.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: haskell-clash-lib Binary: libghc-clash-lib-dev, libghc-clash-lib-prof, libghc-clash-lib-doc, haskell-clash-lib-utils Architecture: any all Version: 1.6.4-1 Maintainer: Debian Haskell Group Uploaders: Scott Talbert Homepage: https://clash-lang.org/ Standards-Version: 4.6.1 Vcs-Browser: https://salsa.debian.org/haskell-team/DHG_packages/tree/master/p/haskell-clash-lib Vcs-Git: https://salsa.debian.org/haskell-team/DHG_packages.git [p/haskell-clash-lib] Build-Depends: debhelper (>= 10), haskell-devscripts-minimal | haskell-devscripts (>= 0.13), cdbs, ghc, ghc-prof, libghc-aeson-dev (>= 0.6.2.0), libghc-aeson-dev (<< 2.1), libghc-aeson-prof, libghc-aeson-pretty-dev (>= 0.8), libghc-aeson-pretty-dev (<< 0.9), libghc-aeson-pretty-prof, libghc-ansi-terminal-dev (>= 0.8.0.0), libghc-ansi-terminal-dev (<< 0.12), libghc-ansi-terminal-prof, libghc-async-dev (>= 2.2.0), libghc-async-dev (<< 2.3), libghc-async-prof, libghc-attoparsec-dev (>= 0.10.4.0), libghc-attoparsec-dev (<< 0.15), libghc-attoparsec-prof, libghc-base16-bytestring-dev (>= 0.1.1), libghc-base16-bytestring-dev (<< 1.1), libghc-base16-bytestring-prof, libghc-clash-prelude-dev (>= 1.6.4), libghc-clash-prelude-dev (<< 1.6.5), libghc-clash-prelude-prof, libghc-concurrent-supply-dev (>= 0.1.7), libghc-concurrent-supply-dev (<< 0.2), libghc-concurrent-supply-prof, libghc-cryptohash-sha256-dev (>= 0.11), libghc-cryptohash-sha256-dev (<< 0.12), libghc-cryptohash-sha256-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-dev (>= 0.7), libghc-data-default-dev (<< 0.8), libghc-data-default-prof, libghc-dlist-dev (>= 0.8), libghc-dlist-dev (<< 1.1), libghc-dlist-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-src-meta-dev (>= 0.8), libghc-src-meta-dev (<< 0.9), libghc-src-meta-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-interpolate-dev (>= 0.2.0), libghc-interpolate-dev (<< 1.0), libghc-interpolate-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.2.0), libghc-lens-prof, libghc-ordered-containers-dev (>= 0.2), libghc-ordered-containers-dev (<< 0.3), libghc-ordered-containers-prof, libghc-pretty-show-dev (>= 1.9), libghc-pretty-show-dev (<< 2.0), libghc-pretty-show-prof, libghc-prettyprinter-dev (>= 1.2.0.1), libghc-prettyprinter-dev (<< 1.8), libghc-prettyprinter-prof, libghc-primitive-dev (>= 0.5.0.1), libghc-primitive-dev (<< 1.0), libghc-primitive-prof, libghc-quickcheck-text-dev, libghc-quickcheck-text-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.5), libghc-tasty-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-temporary-dev (>= 1.2.1), libghc-temporary-dev (<< 1.4), libghc-temporary-prof, libghc-terminal-size-dev (>= 0.3), libghc-terminal-size-dev (<< 0.4), libghc-terminal-size-prof, libghc-trifecta-dev (>= 1.7.1.1), libghc-trifecta-dev (<< 2.2), libghc-trifecta-prof, libghc-unordered-containers-dev (>= 0.2.3.3), libghc-unordered-containers-dev (<< 0.3), libghc-unordered-containers-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-vector-binary-instances-dev (>= 0.2.3.5), libghc-vector-binary-instances-dev (<< 0.3), libghc-vector-binary-instances-prof, libghc-yaml-dev (>= 0.11), libghc-yaml-dev (<< 0.12), libghc-yaml-prof, libghc-glob-dev, libghc-glob-prof, libghc-stringsearch-dev, libghc-stringsearch-prof Build-Depends-Indep: ghc-doc, libghc-aeson-doc, libghc-aeson-pretty-doc, libghc-ansi-terminal-doc, libghc-async-doc, libghc-attoparsec-doc, libghc-base16-bytestring-doc, libghc-clash-prelude-doc, libghc-concurrent-supply-doc, libghc-cryptohash-sha256-doc, libghc-data-binary-ieee754-doc, libghc-data-default-doc, libghc-dlist-doc, libghc-extra-doc, libghc-hashable-doc, libghc-src-meta-doc, libghc-hint-doc, libghc-interpolate-doc, libghc-lens-doc, libghc-ordered-containers-doc, libghc-pretty-show-doc, libghc-prettyprinter-doc, libghc-primitive-doc, libghc-quickcheck-text-doc, libghc-tasty-doc, libghc-tasty-hunit-doc, libghc-tasty-quickcheck-doc, libghc-temporary-doc, libghc-terminal-size-doc, libghc-trifecta-doc, libghc-unordered-containers-doc, libghc-vector-doc, libghc-vector-binary-instances-doc, libghc-yaml-doc Package-List: haskell-clash-lib-utils deb misc optional arch=any libghc-clash-lib-dev deb haskell optional arch=any libghc-clash-lib-doc deb doc optional arch=all libghc-clash-lib-prof deb haskell optional arch=any Checksums-Sha1: 4a6b180f74b88628d3878a330cb347e5fc05939e 447368 haskell-clash-lib_1.6.4.orig.tar.gz ca9bb268b1483e66800c91ab02cac24c36448e84 3888 haskell-clash-lib_1.6.4-1.debian.tar.xz Checksums-Sha256: 5ae38d630e05c59318fc271671936bf9450c08df60dd2a89fdf15a884c47ffc1 447368 haskell-clash-lib_1.6.4.orig.tar.gz 87f204ae5528775ebcb80d3ac2da24feb90b61ac1a7fc13fcacb7039c07fd0d0 3888 haskell-clash-lib_1.6.4-1.debian.tar.xz Files: 810e5538ceef16bd006899de6fed2809 447368 haskell-clash-lib_1.6.4.orig.tar.gz 15e3f86a518b88b785eeb73dcb721df9 3888 haskell-clash-lib_1.6.4-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQJDBAEBCgAtFiEEbnQ09Yl9Q7F/zVe3U9W8ZLUjeKIFAmNESyMPHHN3dEB0ZWNo aWUubmV0AAoJEFPVvGS1I3ii2KMQAKNMsAuQK6t4rTKj89tQ/CcX5KFd1aUWXYe5 78OoopyQrpbuEoyXi3PUYf5IjxQCu1Hj91Pcm9HCA3JHrBIY3xKhe7czeiQjFMCf N3BjwDc7iTvwtCAqvWBOAU8BDRKIZcLQK9hBmqmO17753R7RX5eyHE9XYjUEbi8c n59m5Fqq2ioydaACdBpBEOV7JMtw93fy8pqTBe5mNmcRk+P45gijHCgI2a8szOuh KvDC8C/NaV+keGAzwOfqzJixix6kEaehLyeCVOIk6fq/X8dJVuwrPqXscqyozcre uegSn6+cr+SHQb/yGthvX/rDMV7UM4f2BI+a7hLTPbHSYxWU0/o99fSHbziNTGpm +Atv4M27VLOsYarTx8UeNME9TRML/I/GqX8vpD7YZS/WqB8IZ8kiSG23dWFyHxYY S2TvwFGzJPKHD6mq2o7Rr+suHzBlUq6WudhnsI9RDEXje65xEXIKODLG7F2oq8m7 +YXbaCh5FOQJGSVeX+nwQjI8X7NClFqeSKySSx6ODtbtREAdiP0W4UmDZdvj5J78 xifBOfndOcOaZvXcLziXMU4QGTe9iMJ10JD0TYvG1amHWwFe659fXgFzV8ec5qs4 jiQzuBx0XSBhSzfEdtIA2hv1XP4MMf0lh3/qu8NmNR9Q4RrI9Jgms5odK83UTvzi eear5IQi =kfNm -----END PGP SIGNATURE----- Sun Jan 28 03:24:07 UTC 2024 I: Checking whether the package is not for us Sun Jan 28 03:24:07 UTC 2024 I: Starting 1st build on remote node ionos5-amd64.debian.net. Sun Jan 28 03:24:07 UTC 2024 I: Preparing to do remote build '1' on ionos5-amd64.debian.net. Sun Jan 28 03:42:22 UTC 2024 I: Deleting $TMPDIR on ionos5-amd64.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Fri Feb 28 21:47:12 -12 2025 I: pbuilder-time-stamp: 1740822432 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [haskell-clash-lib_1.6.4-1.dsc] I: copying [./haskell-clash-lib_1.6.4.orig.tar.gz] I: copying [./haskell-clash-lib_1.6.4-1.debian.tar.xz] I: Extracting source gpgv: Signature made Mon Oct 10 16:41:07 2022 gpgv: using RSA key 6E7434F5897D43B17FCD57B753D5BC64B52378A2 gpgv: issuer "swt@techie.net" gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./haskell-clash-lib_1.6.4-1.dsc: no acceptable signature found dpkg-source: info: extracting haskell-clash-lib in haskell-clash-lib-1.6.4 dpkg-source: info: unpacking haskell-clash-lib_1.6.4.orig.tar.gz dpkg-source: info: unpacking haskell-clash-lib_1.6.4-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying no-rts-n I: using fakeroot in build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/1861466/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build/reproducible-path' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='amd64' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16 ' DISTRIBUTION='bookworm' HOME='/root' HOST_ARCH='amd64' IFS=' ' INVOCATION_ID='bd15553edc144f2c81516dfda66ab6ca' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='1861466' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.cBjqV4KZ/pbuilderrc_0gbE --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.cBjqV4KZ/b1 --logfile b1/build.log haskell-clash-lib_1.6.4-1.dsc' SUDO_GID='110' SUDO_UID='105' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://85.184.249.68:3128' I: uname -a Linux ionos5-amd64 6.5.0-0.deb12.4-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.5.10-1~bpo12+1 (2023-11-23) x86_64 GNU/Linux I: ls -l /bin total 5632 -rwxr-xr-x 1 root root 1265648 Apr 23 2023 bash -rwxr-xr-x 3 root root 39224 Sep 19 2022 bunzip2 -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 19 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 19 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 19 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 19 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 19 2022 bzgrep -rwxr-xr-x 3 root root 39224 Sep 19 2022 bzip2 -rwxr-xr-x 1 root root 14568 Sep 19 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 19 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 19 2022 bzmore -rwxr-xr-x 1 root root 44016 Sep 20 2022 cat -rwxr-xr-x 1 root root 68656 Sep 20 2022 chgrp -rwxr-xr-x 1 root root 64496 Sep 20 2022 chmod -rwxr-xr-x 1 root root 72752 Sep 20 2022 chown -rwxr-xr-x 1 root root 151152 Sep 20 2022 cp -rwxr-xr-x 1 root root 125640 Jan 5 2023 dash -rwxr-xr-x 1 root root 121904 Sep 20 2022 date -rwxr-xr-x 1 root root 89240 Sep 20 2022 dd -rwxr-xr-x 1 root root 102200 Sep 20 2022 df -rwxr-xr-x 1 root root 151344 Sep 20 2022 dir -rwxr-xr-x 1 root root 88656 Mar 23 2023 dmesg lrwxrwxrwx 1 root root 8 Dec 19 2022 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 19 2022 domainname -> hostname -rwxr-xr-x 1 root root 43856 Sep 20 2022 echo -rwxr-xr-x 1 root root 41 Jan 24 2023 egrep -rwxr-xr-x 1 root root 35664 Sep 20 2022 false -rwxr-xr-x 1 root root 41 Jan 24 2023 fgrep -rwxr-xr-x 1 root root 85600 Mar 23 2023 findmnt -rwsr-xr-x 1 root root 35128 Mar 23 2023 fusermount -rwxr-xr-x 1 root root 203152 Jan 24 2023 grep -rwxr-xr-x 2 root root 2346 Apr 10 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 10 2022 gzexe -rwxr-xr-x 1 root root 98136 Apr 10 2022 gzip -rwxr-xr-x 1 root root 22680 Dec 19 2022 hostname -rwxr-xr-x 1 root root 72824 Sep 20 2022 ln -rwxr-xr-x 1 root root 53024 Mar 23 2023 login -rwxr-xr-x 1 root root 151344 Sep 20 2022 ls -rwxr-xr-x 1 root root 207168 Mar 23 2023 lsblk -rwxr-xr-x 1 root root 97552 Sep 20 2022 mkdir -rwxr-xr-x 1 root root 72912 Sep 20 2022 mknod -rwxr-xr-x 1 root root 43952 Sep 20 2022 mktemp -rwxr-xr-x 1 root root 59712 Mar 23 2023 more -rwsr-xr-x 1 root root 59704 Mar 23 2023 mount -rwxr-xr-x 1 root root 18744 Mar 23 2023 mountpoint -rwxr-xr-x 1 root root 142968 Sep 20 2022 mv lrwxrwxrwx 1 root root 8 Dec 19 2022 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 3 2023 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 43952 Sep 20 2022 pwd lrwxrwxrwx 1 root root 4 Apr 23 2023 rbash -> bash -rwxr-xr-x 1 root root 52112 Sep 20 2022 readlink -rwxr-xr-x 1 root root 72752 Sep 20 2022 rm -rwxr-xr-x 1 root root 56240 Sep 20 2022 rmdir -rwxr-xr-x 1 root root 27560 Jul 28 2023 run-parts -rwxr-xr-x 1 root root 126424 Jan 5 2023 sed lrwxrwxrwx 1 root root 4 Jan 5 2023 sh -> dash -rwxr-xr-x 1 root root 43888 Sep 20 2022 sleep -rwxr-xr-x 1 root root 85008 Sep 20 2022 stty -rwsr-xr-x 1 root root 72000 Mar 23 2023 su -rwxr-xr-x 1 root root 39824 Sep 20 2022 sync -rwxr-xr-x 1 root root 531984 Apr 6 2023 tar -rwxr-xr-x 1 root root 14520 Jul 28 2023 tempfile -rwxr-xr-x 1 root root 109616 Sep 20 2022 touch -rwxr-xr-x 1 root root 35664 Sep 20 2022 true -rwxr-xr-x 1 root root 14568 Mar 23 2023 ulockmgr_server -rwsr-xr-x 1 root root 35128 Mar 23 2023 umount -rwxr-xr-x 1 root root 43888 Sep 20 2022 uname -rwxr-xr-x 2 root root 2346 Apr 10 2022 uncompress -rwxr-xr-x 1 root root 151344 Sep 20 2022 vdir -rwxr-xr-x 1 root root 72024 Mar 23 2023 wdctl lrwxrwxrwx 1 root root 8 Dec 19 2022 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 10 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 10 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 10 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 10 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 10 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 10 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 10 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 10 2022 zless -rwxr-xr-x 1 root root 1842 Apr 10 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 10 2022 znew I: user script /srv/workspace/pbuilder/1861466/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper (>= 10), haskell-devscripts-minimal | haskell-devscripts (>= 0.13), cdbs, ghc, ghc-prof, libghc-aeson-dev (>= 0.6.2.0), libghc-aeson-dev (<< 2.1), libghc-aeson-prof, libghc-aeson-pretty-dev (>= 0.8), libghc-aeson-pretty-dev (<< 0.9), libghc-aeson-pretty-prof, libghc-ansi-terminal-dev (>= 0.8.0.0), libghc-ansi-terminal-dev (<< 0.12), libghc-ansi-terminal-prof, libghc-async-dev (>= 2.2.0), libghc-async-dev (<< 2.3), libghc-async-prof, libghc-attoparsec-dev (>= 0.10.4.0), libghc-attoparsec-dev (<< 0.15), libghc-attoparsec-prof, libghc-base16-bytestring-dev (>= 0.1.1), libghc-base16-bytestring-dev (<< 1.1), libghc-base16-bytestring-prof, libghc-clash-prelude-dev (>= 1.6.4), libghc-clash-prelude-dev (<< 1.6.5), libghc-clash-prelude-prof, libghc-concurrent-supply-dev (>= 0.1.7), libghc-concurrent-supply-dev (<< 0.2), libghc-concurrent-supply-prof, libghc-cryptohash-sha256-dev (>= 0.11), libghc-cryptohash-sha256-dev (<< 0.12), libghc-cryptohash-sha256-prof, libghc-data-binary-ieee754-dev (>= 0.4.4), libghc-data-binary-ieee754-dev (<< 0.6), libghc-data-binary-ieee754-prof, libghc-data-default-dev (>= 0.7), libghc-data-default-dev (<< 0.8), libghc-data-default-prof, libghc-dlist-dev (>= 0.8), libghc-dlist-dev (<< 1.1), libghc-dlist-prof, libghc-extra-dev (>= 1.6.17), libghc-extra-dev (<< 1.8), libghc-extra-prof, libghc-hashable-dev (>= 1.2.1.0), libghc-hashable-dev (<< 1.5), libghc-hashable-prof, libghc-src-meta-dev (>= 0.8), libghc-src-meta-dev (<< 0.9), libghc-src-meta-prof, libghc-hint-dev (>= 0.7), libghc-hint-dev (<< 0.10), libghc-hint-prof, libghc-interpolate-dev (>= 0.2.0), libghc-interpolate-dev (<< 1.0), libghc-interpolate-prof, libghc-lens-dev (>= 4.10), libghc-lens-dev (<< 5.2.0), libghc-lens-prof, libghc-ordered-containers-dev (>= 0.2), libghc-ordered-containers-dev (<< 0.3), libghc-ordered-containers-prof, libghc-pretty-show-dev (>= 1.9), libghc-pretty-show-dev (<< 2.0), libghc-pretty-show-prof, libghc-prettyprinter-dev (>= 1.2.0.1), libghc-prettyprinter-dev (<< 1.8), libghc-prettyprinter-prof, libghc-primitive-dev (>= 0.5.0.1), libghc-primitive-dev (<< 1.0), libghc-primitive-prof, libghc-quickcheck-text-dev, libghc-quickcheck-text-prof, libghc-tasty-dev (>= 1.2), libghc-tasty-dev (<< 1.5), libghc-tasty-prof, libghc-tasty-hunit-dev, libghc-tasty-hunit-prof, libghc-tasty-quickcheck-dev, libghc-tasty-quickcheck-prof, libghc-temporary-dev (>= 1.2.1), libghc-temporary-dev (<< 1.4), libghc-temporary-prof, libghc-terminal-size-dev (>= 0.3), libghc-terminal-size-dev (<< 0.4), libghc-terminal-size-prof, libghc-trifecta-dev (>= 1.7.1.1), libghc-trifecta-dev (<< 2.2), libghc-trifecta-prof, libghc-unordered-containers-dev (>= 0.2.3.3), libghc-unordered-containers-dev (<< 0.3), libghc-unordered-containers-prof, libghc-vector-dev (>= 0.11), libghc-vector-dev (<< 1.0), libghc-vector-prof, libghc-vector-binary-instances-dev (>= 0.2.3.5), libghc-vector-binary-instances-dev (<< 0.3), libghc-vector-binary-instances-prof, libghc-yaml-dev (>= 0.11), libghc-yaml-dev (<< 0.12), libghc-yaml-prof, libghc-glob-dev, libghc-glob-prof, libghc-stringsearch-dev, libghc-stringsearch-prof, ghc-doc, libghc-aeson-doc, libghc-aeson-pretty-doc, libghc-ansi-terminal-doc, libghc-async-doc, libghc-attoparsec-doc, libghc-base16-bytestring-doc, libghc-clash-prelude-doc, libghc-concurrent-supply-doc, libghc-cryptohash-sha256-doc, libghc-data-binary-ieee754-doc, libghc-data-default-doc, libghc-dlist-doc, libghc-extra-doc, libghc-hashable-doc, libghc-src-meta-doc, libghc-hint-doc, libghc-interpolate-doc, libghc-lens-doc, libghc-ordered-containers-doc, libghc-pretty-show-doc, libghc-prettyprinter-doc, libghc-primitive-doc, libghc-quickcheck-text-doc, libghc-tasty-doc, libghc-tasty-hunit-doc, libghc-tasty-quickcheck-doc, libghc-temporary-doc, libghc-terminal-size-doc, libghc-trifecta-doc, libghc-unordered-containers-doc, libghc-vector-doc, libghc-vector-binary-instances-doc, libghc-yaml-doc dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 18148 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper (>= 10); however: Package debhelper is not installed. pbuilder-satisfydepends-dummy depends on haskell-devscripts-minimal | haskell-devscripts (>= 0.13); however: Package haskell-devscripts-minimal is not installed. Package haskell-devscripts is not installed. pbuilder-satisfydepends-dummy depends on cdbs; however: Package cdbs is not installed. pbuilder-satisfydepends-dummy depends on ghc; however: Package ghc is not installed. pbuilder-satisfydepends-dummy depends on ghc-prof; however: Package ghc-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-dev (>= 0.6.2.0); however: Package libghc-aeson-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-dev (<< 2.1); however: Package libghc-aeson-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-prof; however: Package libghc-aeson-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-pretty-dev (>= 0.8); however: Package libghc-aeson-pretty-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-pretty-dev (<< 0.9); however: Package libghc-aeson-pretty-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-pretty-prof; however: Package libghc-aeson-pretty-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-ansi-terminal-dev (>= 0.8.0.0); however: Package libghc-ansi-terminal-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ansi-terminal-dev (<< 0.12); however: Package libghc-ansi-terminal-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ansi-terminal-prof; however: Package libghc-ansi-terminal-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-async-dev (>= 2.2.0); however: Package libghc-async-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-async-dev (<< 2.3); however: Package libghc-async-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-async-prof; however: Package libghc-async-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-attoparsec-dev (>= 0.10.4.0); however: Package libghc-attoparsec-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-attoparsec-dev (<< 0.15); however: Package libghc-attoparsec-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-attoparsec-prof; however: Package libghc-attoparsec-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-base16-bytestring-dev (>= 0.1.1); however: Package libghc-base16-bytestring-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-base16-bytestring-dev (<< 1.1); however: Package libghc-base16-bytestring-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-base16-bytestring-prof; however: Package libghc-base16-bytestring-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-clash-prelude-dev (>= 1.6.4); however: Package libghc-clash-prelude-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-clash-prelude-dev (<< 1.6.5); however: Package libghc-clash-prelude-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-clash-prelude-prof; however: Package libghc-clash-prelude-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-concurrent-supply-dev (>= 0.1.7); however: Package libghc-concurrent-supply-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-concurrent-supply-dev (<< 0.2); however: Package libghc-concurrent-supply-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-concurrent-supply-prof; however: Package libghc-concurrent-supply-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-cryptohash-sha256-dev (>= 0.11); however: Package libghc-cryptohash-sha256-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-cryptohash-sha256-dev (<< 0.12); however: Package libghc-cryptohash-sha256-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-cryptohash-sha256-prof; however: Package libghc-cryptohash-sha256-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-dev (>= 0.4.4); however: Package libghc-data-binary-ieee754-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-dev (<< 0.6); however: Package libghc-data-binary-ieee754-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-prof; however: Package libghc-data-binary-ieee754-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-dev (>= 0.7); however: Package libghc-data-default-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-dev (<< 0.8); however: Package libghc-data-default-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-prof; however: Package libghc-data-default-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-dlist-dev (>= 0.8); however: Package libghc-dlist-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-dlist-dev (<< 1.1); however: Package libghc-dlist-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-dlist-prof; however: Package libghc-dlist-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-dev (>= 1.6.17); however: Package libghc-extra-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-dev (<< 1.8); however: Package libghc-extra-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-prof; however: Package libghc-extra-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-dev (>= 1.2.1.0); however: Package libghc-hashable-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-dev (<< 1.5); however: Package libghc-hashable-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-prof; however: Package libghc-hashable-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-src-meta-dev (>= 0.8); however: Package libghc-src-meta-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-src-meta-dev (<< 0.9); however: Package libghc-src-meta-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-src-meta-prof; however: Package libghc-src-meta-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-dev (>= 0.7); however: Package libghc-hint-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-dev (<< 0.10); however: Package libghc-hint-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-prof; however: Package libghc-hint-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-interpolate-dev (>= 0.2.0); however: Package libghc-interpolate-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-interpolate-dev (<< 1.0); however: Package libghc-interpolate-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-interpolate-prof; however: Package libghc-interpolate-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-dev (>= 4.10); however: Package libghc-lens-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-dev (<< 5.2.0); however: Package libghc-lens-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-prof; however: Package libghc-lens-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-ordered-containers-dev (>= 0.2); however: Package libghc-ordered-containers-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ordered-containers-dev (<< 0.3); however: Package libghc-ordered-containers-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-ordered-containers-prof; however: Package libghc-ordered-containers-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-pretty-show-dev (>= 1.9); however: Package libghc-pretty-show-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-pretty-show-dev (<< 2.0); however: Package libghc-pretty-show-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-pretty-show-prof; however: Package libghc-pretty-show-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-prettyprinter-dev (>= 1.2.0.1); however: Package libghc-prettyprinter-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-prettyprinter-dev (<< 1.8); however: Package libghc-prettyprinter-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-prettyprinter-prof; however: Package libghc-prettyprinter-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-primitive-dev (>= 0.5.0.1); however: Package libghc-primitive-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-primitive-dev (<< 1.0); however: Package libghc-primitive-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-primitive-prof; however: Package libghc-primitive-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck-text-dev; however: Package libghc-quickcheck-text-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck-text-prof; however: Package libghc-quickcheck-text-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-dev (>= 1.2); however: Package libghc-tasty-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-dev (<< 1.5); however: Package libghc-tasty-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-prof; however: Package libghc-tasty-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hunit-dev; however: Package libghc-tasty-hunit-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hunit-prof; however: Package libghc-tasty-hunit-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-quickcheck-dev; however: Package libghc-tasty-quickcheck-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-quickcheck-prof; however: Package libghc-tasty-quickcheck-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-temporary-dev (>= 1.2.1); however: Package libghc-temporary-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-temporary-dev (<< 1.4); however: Package libghc-temporary-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-temporary-prof; however: Package libghc-temporary-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-terminal-size-dev (>= 0.3); however: Package libghc-terminal-size-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-terminal-size-dev (<< 0.4); however: Package libghc-terminal-size-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-terminal-size-prof; however: Package libghc-terminal-size-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-trifecta-dev (>= 1.7.1.1); however: Package libghc-trifecta-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-trifecta-dev (<< 2.2); however: Package libghc-trifecta-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-trifecta-prof; however: Package libghc-trifecta-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-unordered-containers-dev (>= 0.2.3.3); however: Package libghc-unordered-containers-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-unordered-containers-dev (<< 0.3); however: Package libghc-unordered-containers-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-unordered-containers-prof; however: Package libghc-unordered-containers-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-dev (>= 0.11); however: Package libghc-vector-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-dev (<< 1.0); however: Package libghc-vector-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-prof; however: Package libghc-vector-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-binary-instances-dev (>= 0.2.3.5); however: Package libghc-vector-binary-instances-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-binary-instances-dev (<< 0.3); however: Package libghc-vector-binary-instances-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-binary-instances-prof; however: Package libghc-vector-binary-instances-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-yaml-dev (>= 0.11); however: Package libghc-yaml-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-yaml-dev (<< 0.12); however: Package libghc-yaml-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-yaml-prof; however: Package libghc-yaml-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-glob-dev; however: Package libghc-glob-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-glob-prof; however: Package libghc-glob-prof is not installed. pbuilder-satisfydepends-dummy depends on libghc-stringsearch-dev; however: Package libghc-stringsearch-dev is not installed. pbuilder-satisfydepends-dummy depends on libghc-stringsearch-prof; however: Package libghc-stringsearch-prof is not installed. pbuilder-satisfydepends-dummy depends on ghc-doc; however: Package ghc-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-doc; however: Package libghc-aeson-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-aeson-pretty-doc; however: Package libghc-aeson-pretty-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-ansi-terminal-doc; however: Package libghc-ansi-terminal-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-async-doc; however: Package libghc-async-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-attoparsec-doc; however: Package libghc-attoparsec-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-base16-bytestring-doc; however: Package libghc-base16-bytestring-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-clash-prelude-doc; however: Package libghc-clash-prelude-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-concurrent-supply-doc; however: Package libghc-concurrent-supply-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-cryptohash-sha256-doc; however: Package libghc-cryptohash-sha256-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-binary-ieee754-doc; however: Package libghc-data-binary-ieee754-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-data-default-doc; however: Package libghc-data-default-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-dlist-doc; however: Package libghc-dlist-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-extra-doc; however: Package libghc-extra-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-hashable-doc; however: Package libghc-hashable-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-src-meta-doc; however: Package libghc-src-meta-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-hint-doc; however: Package libghc-hint-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-interpolate-doc; however: Package libghc-interpolate-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-lens-doc; however: Package libghc-lens-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-ordered-containers-doc; however: Package libghc-ordered-containers-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-pretty-show-doc; however: Package libghc-pretty-show-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-prettyprinter-doc; however: Package libghc-prettyprinter-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-primitive-doc; however: Package libghc-primitive-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-quickcheck-text-doc; however: Package libghc-quickcheck-text-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-doc; however: Package libghc-tasty-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-hunit-doc; however: Package libghc-tasty-hunit-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-tasty-quickcheck-doc; however: Package libghc-tasty-quickcheck-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-temporary-doc; however: Package libghc-temporary-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-terminal-size-doc; however: Package libghc-terminal-size-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-trifecta-doc; however: Package libghc-trifecta-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-unordered-containers-doc; however: Package libghc-unordered-containers-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-doc; however: Package libghc-vector-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-vector-binary-instances-doc; however: Package libghc-vector-binary-instances-doc is not installed. pbuilder-satisfydepends-dummy depends on libghc-yaml-doc; however: Package libghc-yaml-doc is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} cdbs{a} dctrl-tools{a} debhelper{a} dh-autoreconf{a} dh-buildinfo{a} dh-strip-nondeterminism{a} dwz{a} file{a} fonts-mathjax{a} gettext{a} gettext-base{a} ghc{a} ghc-doc{a} ghc-prof{a} groff-base{a} haskell-devscripts-minimal{a} html-xml-utils{a} intltool-debian{a} libarchive-zip-perl{a} libb-hooks-op-check-perl{a} libbrotli1{a} libbsd-dev{a} libbsd0{a} libconst-fast-perl{a} libcurl3-gnutls{a} libdata-optlist-perl{a} libdebhelper-perl{a} libdevel-callchecker-perl{a} libdevel-confess-perl{a} libdynaloader-functions-perl{a} libelf1{a} libexpat1{a} libffi-dev{a} libfile-stripnondeterminism-perl{a} libghc-adjunctions-dev{a} libghc-adjunctions-prof{a} libghc-aeson-dev{a} libghc-aeson-doc{a} libghc-aeson-pretty-dev{a} libghc-aeson-pretty-doc{a} libghc-aeson-pretty-prof{a} libghc-aeson-prof{a} libghc-ansi-terminal-dev{a} libghc-ansi-terminal-doc{a} libghc-ansi-terminal-prof{a} libghc-ansi-wl-pprint-dev{a} libghc-ansi-wl-pprint-prof{a} libghc-arrows-dev{a} libghc-arrows-prof{a} libghc-assoc-dev{a} libghc-assoc-prof{a} libghc-async-dev{a} libghc-async-doc{a} libghc-async-prof{a} libghc-attoparsec-dev{a} libghc-attoparsec-doc{a} libghc-attoparsec-prof{a} libghc-base-compat-batteries-dev{a} libghc-base-compat-batteries-prof{a} libghc-base-compat-dev{a} libghc-base-compat-prof{a} libghc-base-orphans-dev{a} libghc-base-orphans-prof{a} libghc-base16-bytestring-dev{a} libghc-base16-bytestring-doc{a} libghc-base16-bytestring-prof{a} libghc-bifunctors-dev{a} libghc-bifunctors-prof{a} libghc-blaze-builder-dev{a} libghc-blaze-builder-prof{a} libghc-blaze-html-dev{a} libghc-blaze-html-prof{a} libghc-blaze-markup-dev{a} libghc-blaze-markup-prof{a} libghc-call-stack-dev{a} libghc-call-stack-prof{a} libghc-charset-dev{a} libghc-charset-prof{a} libghc-clash-prelude-dev{a} libghc-clash-prelude-doc{a} libghc-clash-prelude-prof{a} libghc-clock-dev{a} libghc-clock-prof{a} libghc-colour-dev{a} libghc-colour-prof{a} libghc-comonad-dev{a} libghc-comonad-prof{a} libghc-concurrent-supply-dev{a} libghc-concurrent-supply-doc{a} libghc-concurrent-supply-prof{a} libghc-conduit-dev{a} libghc-conduit-prof{a} libghc-constraints-dev{a} libghc-constraints-prof{a} libghc-contravariant-dev{a} libghc-contravariant-prof{a} libghc-cryptohash-sha256-dev{a} libghc-cryptohash-sha256-doc{a} libghc-cryptohash-sha256-prof{a} libghc-data-binary-ieee754-dev{a} libghc-data-binary-ieee754-doc{a} libghc-data-binary-ieee754-prof{a} libghc-data-default-class-dev{a} libghc-data-default-class-prof{a} libghc-data-default-dev{a} libghc-data-default-doc{a} libghc-data-default-instances-containers-dev{a} libghc-data-default-instances-containers-prof{a} libghc-data-default-instances-dlist-dev{a} libghc-data-default-instances-dlist-prof{a} libghc-data-default-instances-old-locale-dev{a} libghc-data-default-instances-old-locale-prof{a} libghc-data-default-prof{a} libghc-data-fix-dev{a} libghc-data-fix-prof{a} libghc-distributive-dev{a} libghc-distributive-prof{a} libghc-dlist-dev{a} libghc-dlist-doc{a} libghc-dlist-prof{a} libghc-extra-dev{a} libghc-extra-doc{a} libghc-extra-prof{a} libghc-fingertree-dev{a} libghc-fingertree-prof{a} libghc-first-class-families-dev{a} libghc-first-class-families-prof{a} libghc-free-dev{a} libghc-free-prof{a} libghc-ghc-paths-dev{a} libghc-ghc-paths-prof{a} libghc-ghc-tcplugins-extra-dev{a} libghc-ghc-tcplugins-extra-prof{a} libghc-ghc-typelits-extra-dev{a} libghc-ghc-typelits-extra-prof{a} libghc-ghc-typelits-knownnat-dev{a} libghc-ghc-typelits-knownnat-prof{a} libghc-ghc-typelits-natnormalise-dev{a} libghc-ghc-typelits-natnormalise-prof{a} libghc-glob-dev{a} libghc-glob-prof{a} libghc-half-dev{a} libghc-half-prof{a} libghc-hashable-dev{a} libghc-hashable-doc{a} libghc-hashable-prof{a} libghc-haskell-lexer-dev{a} libghc-haskell-lexer-prof{a} libghc-hint-dev{a} libghc-hint-doc{a} libghc-hint-prof{a} libghc-indexed-traversable-dev{a} libghc-indexed-traversable-instances-dev{a} libghc-indexed-traversable-instances-prof{a} libghc-indexed-traversable-prof{a} libghc-integer-logarithms-dev{a} libghc-integer-logarithms-prof{a} libghc-interpolate-dev{a} libghc-interpolate-doc{a} libghc-interpolate-prof{a} libghc-invariant-dev{a} libghc-invariant-prof{a} libghc-kan-extensions-dev{a} libghc-kan-extensions-prof{a} libghc-lazysmallcheck-dev{a} libghc-lazysmallcheck-prof{a} libghc-lens-dev{a} libghc-lens-doc{a} libghc-lens-prof{a} libghc-libyaml-dev{a} libghc-libyaml-prof{a} libghc-mono-traversable-dev{a} libghc-mono-traversable-prof{a} libghc-old-locale-dev{a} libghc-old-locale-prof{a} libghc-onetuple-dev{a} libghc-onetuple-prof{a} libghc-optparse-applicative-dev{a} libghc-optparse-applicative-prof{a} libghc-ordered-containers-dev{a} libghc-ordered-containers-doc{a} libghc-ordered-containers-prof{a} libghc-parallel-dev{a} libghc-parallel-prof{a} libghc-parsers-dev{a} libghc-parsers-prof{a} libghc-pretty-show-dev{a} libghc-pretty-show-doc{a} libghc-pretty-show-prof{a} libghc-prettyprinter-ansi-terminal-dev{a} libghc-prettyprinter-ansi-terminal-prof{a} libghc-prettyprinter-dev{a} libghc-prettyprinter-doc{a} libghc-prettyprinter-prof{a} libghc-primitive-dev{a} libghc-primitive-doc{a} libghc-primitive-prof{a} libghc-profunctors-dev{a} libghc-profunctors-prof{a} libghc-quickcheck-text-dev{a} libghc-quickcheck-text-doc{a} libghc-quickcheck-text-prof{a} libghc-quickcheck2-dev{a} libghc-quickcheck2-prof{a} libghc-random-dev{a} libghc-random-prof{a} libghc-recursion-schemes-dev{a} libghc-recursion-schemes-prof{a} libghc-reducers-dev{a} libghc-reducers-prof{a} libghc-reflection-dev{a} libghc-reflection-prof{a} libghc-resourcet-dev{a} libghc-resourcet-prof{a} libghc-safe-dev{a} libghc-safe-prof{a} libghc-scientific-dev{a} libghc-scientific-prof{a} libghc-semialign-dev{a} libghc-semialign-prof{a} libghc-semigroupoids-dev{a} libghc-semigroupoids-prof{a} libghc-semigroups-dev{a} libghc-semigroups-prof{a} libghc-singletons-dev{a} libghc-singletons-prof{a} libghc-split-dev{a} libghc-split-prof{a} libghc-splitmix-dev{a} libghc-splitmix-prof{a} libghc-src-exts-dev{a} libghc-src-exts-prof{a} libghc-src-meta-dev{a} libghc-src-meta-doc{a} libghc-src-meta-prof{a} libghc-statevar-dev{a} libghc-statevar-prof{a} libghc-stream-dev{a} libghc-stream-prof{a} libghc-strict-dev{a} libghc-strict-prof{a} libghc-stringsearch-dev{a} libghc-stringsearch-prof{a} libghc-syb-dev{a} libghc-syb-prof{a} libghc-tagged-dev{a} libghc-tagged-prof{a} libghc-tasty-dev{a} libghc-tasty-doc{a} libghc-tasty-hunit-dev{a} libghc-tasty-hunit-doc{a} libghc-tasty-hunit-prof{a} libghc-tasty-prof{a} libghc-tasty-quickcheck-dev{a} libghc-tasty-quickcheck-doc{a} libghc-tasty-quickcheck-prof{a} libghc-temporary-dev{a} libghc-temporary-doc{a} libghc-temporary-prof{a} libghc-terminal-size-dev{a} libghc-terminal-size-doc{a} libghc-terminal-size-prof{a} libghc-text-short-dev{a} libghc-text-short-prof{a} libghc-th-abstraction-dev{a} libghc-th-abstraction-prof{a} libghc-th-compat-dev{a} libghc-th-compat-prof{a} libghc-th-expand-syns-dev{a} libghc-th-expand-syns-prof{a} libghc-th-lift-dev{a} libghc-th-lift-prof{a} libghc-th-orphans-dev{a} libghc-th-orphans-prof{a} libghc-th-reify-many-dev{a} libghc-th-reify-many-prof{a} libghc-these-dev{a} libghc-these-prof{a} libghc-time-compat-dev{a} libghc-time-compat-prof{a} libghc-transformers-base-dev{a} libghc-transformers-base-prof{a} libghc-transformers-compat-dev{a} libghc-transformers-compat-prof{a} libghc-trifecta-dev{a} libghc-trifecta-doc{a} libghc-trifecta-prof{a} libghc-type-equality-dev{a} libghc-type-equality-prof{a} libghc-type-errors-dev{a} libghc-type-errors-prof{a} libghc-unbounded-delays-dev{a} libghc-unbounded-delays-prof{a} libghc-uniplate-dev{a} libghc-uniplate-prof{a} libghc-unliftio-core-dev{a} libghc-unliftio-core-prof{a} libghc-unordered-containers-dev{a} libghc-unordered-containers-doc{a} libghc-unordered-containers-prof{a} libghc-utf8-string-dev{a} libghc-utf8-string-prof{a} libghc-uuid-types-dev{a} libghc-uuid-types-prof{a} libghc-vector-algorithms-dev{a} libghc-vector-algorithms-prof{a} libghc-vector-binary-instances-dev{a} libghc-vector-binary-instances-doc{a} libghc-vector-binary-instances-prof{a} libghc-vector-dev{a} libghc-vector-doc{a} libghc-vector-prof{a} libghc-void-dev{a} libghc-void-prof{a} libghc-wcwidth-dev{a} libghc-wcwidth-prof{a} libghc-witherable-dev{a} libghc-witherable-prof{a} libghc-yaml-dev{a} libghc-yaml-doc{a} libghc-yaml-prof{a} libgmp-dev{a} libgmpxx4ldbl{a} libicu72{a} libipc-run3-perl{a} libjs-mathjax{a} libldap-2.5-0{a} liblist-someutils-perl{a} libmagic-mgc{a} libmagic1{a} libmd-dev{a} libmodule-implementation-perl{a} libmodule-runtime-perl{a} libncurses-dev{a} libncurses6{a} libnghttp2-14{a} libparams-classify-perl{a} libparams-util-perl{a} libpath-tiny-perl{a} libpipeline1{a} libpkgconf3{a} libpsl5{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} libreadline8{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libssh2-1{a} libsub-exporter-perl{a} libsub-exporter-progressive-perl{a} libsub-install-perl{a} libsub-override-perl{a} libtimedate-perl{a} libtool{a} libtry-tiny-perl{a} libuchardet0{a} libunicode-utf8-perl{a} libxml2{a} libyaml-0-2{a} libyaml-dev{a} m4{a} man-db{a} media-types{a} pkg-config{a} pkgconf{a} pkgconf-bin{a} po-debconf{a} python3{a} python3-minimal{a} python3-pkg-resources{a} python3-scour{a} python3-six{a} python3.11{a} python3.11-minimal{a} readline-common{a} scour{a} sensible-utils{a} sgml-base{a} The following packages are RECOMMENDED but will NOT be installed: ca-certificates curl libarchive-cpio-perl libghc-arrows-doc libghc-assoc-doc libghc-bifunctors-doc libghc-blaze-markup-doc libghc-colour-doc libghc-comonad-doc libghc-conduit-doc libghc-constraints-doc libghc-contravariant-doc libghc-data-default-class-doc libghc-data-fix-doc libghc-distributive-doc libghc-fingertree-doc libghc-free-doc libghc-ghc-typelits-extra-doc libghc-half-doc libghc-indexed-traversable-doc libghc-kan-extensions-doc libghc-libyaml-doc libghc-onetuple-doc libghc-optparse-applicative-doc libghc-parallel-doc libghc-parsers-doc libghc-prettyprinter-ansi-terminal-doc libghc-profunctors-doc libghc-quickcheck2-doc libghc-random-doc libghc-recursion-schemes-doc libghc-reducers-doc libghc-reflection-doc libghc-scientific-doc libghc-semialign-doc libghc-semigroupoids-doc libghc-singletons-doc libghc-src-exts-doc libghc-strict-doc libghc-tagged-doc libghc-text-short-doc libghc-th-abstraction-doc libghc-these-doc libghc-time-compat-doc libghc-type-errors-doc libghc-uuid-types-doc libghc-witherable-doc libgpm2 libldap-common liblist-someutils-xs-perl libltdl-dev libmail-sendmail-perl libsasl2-modules lynx publicsuffix wget 0 packages upgraded, 385 newly installed, 0 to remove and 0 not upgraded. Need to get 255 MB of archives. After unpacking 2844 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main amd64 libgmpxx4ldbl amd64 2:6.2.1+dfsg1-1.1 [338 kB] Get: 2 http://deb.debian.org/debian bookworm/main amd64 libgmp-dev amd64 2:6.2.1+dfsg1-1.1 [641 kB] Get: 3 http://deb.debian.org/debian bookworm/main amd64 libffi-dev amd64 3.4.4-1 [59.4 kB] Get: 4 http://deb.debian.org/debian bookworm/main amd64 libbsd0 amd64 0.11.7-2 [117 kB] Get: 5 http://deb.debian.org/debian bookworm/main amd64 libmd-dev amd64 1.0.4-2 [47.0 kB] Get: 6 http://deb.debian.org/debian bookworm/main amd64 libbsd-dev amd64 0.11.7-2 [243 kB] Get: 7 http://deb.debian.org/debian bookworm/main amd64 libncurses6 amd64 6.4-4 [103 kB] Get: 8 http://deb.debian.org/debian bookworm/main amd64 libncurses-dev amd64 6.4-4 [349 kB] Get: 9 http://deb.debian.org/debian bookworm/main amd64 ghc amd64 9.0.2-4 [72.7 MB] Get: 10 http://deb.debian.org/debian bookworm/main amd64 fonts-mathjax all 2.7.9+dfsg-1 [2210 kB] Get: 11 http://deb.debian.org/debian bookworm/main amd64 libjs-mathjax all 2.7.9+dfsg-1 [5667 kB] Get: 12 http://deb.debian.org/debian bookworm/main amd64 ghc-doc all 9.0.2-4 [18.1 MB] Get: 13 http://deb.debian.org/debian bookworm/main amd64 libpython3.11-minimal amd64 3.11.2-6 [813 kB] Get: 14 http://deb.debian.org/debian bookworm/main amd64 libexpat1 amd64 2.5.0-1 [99.3 kB] Get: 15 http://deb.debian.org/debian bookworm/main amd64 python3.11-minimal amd64 3.11.2-6 [2064 kB] Get: 16 http://deb.debian.org/debian bookworm/main amd64 python3-minimal amd64 3.11.2-1+b1 [26.3 kB] Get: 17 http://deb.debian.org/debian bookworm/main amd64 media-types all 10.0.0 [26.1 kB] Get: 18 http://deb.debian.org/debian bookworm/main amd64 readline-common all 8.2-1.3 [69.0 kB] Get: 19 http://deb.debian.org/debian bookworm/main amd64 libreadline8 amd64 8.2-1.3 [166 kB] Get: 20 http://deb.debian.org/debian bookworm/main amd64 libpython3.11-stdlib amd64 3.11.2-6 [1796 kB] Get: 21 http://deb.debian.org/debian bookworm/main amd64 python3.11 amd64 3.11.2-6 [572 kB] Get: 22 http://deb.debian.org/debian bookworm/main amd64 libpython3-stdlib amd64 3.11.2-1+b1 [9312 B] Get: 23 http://deb.debian.org/debian bookworm/main amd64 python3 amd64 3.11.2-1+b1 [26.3 kB] Get: 24 http://deb.debian.org/debian bookworm/main amd64 sgml-base all 1.31 [15.4 kB] Get: 25 http://deb.debian.org/debian bookworm/main amd64 sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 26 http://deb.debian.org/debian bookworm/main amd64 libmagic-mgc amd64 1:5.44-3 [305 kB] Get: 27 http://deb.debian.org/debian bookworm/main amd64 libmagic1 amd64 1:5.44-3 [104 kB] Get: 28 http://deb.debian.org/debian bookworm/main amd64 file amd64 1:5.44-3 [42.5 kB] Get: 29 http://deb.debian.org/debian bookworm/main amd64 gettext-base amd64 0.21-12 [160 kB] Get: 30 http://deb.debian.org/debian bookworm/main amd64 libuchardet0 amd64 0.0.7-1 [67.8 kB] Get: 31 http://deb.debian.org/debian bookworm/main amd64 groff-base amd64 1.22.4-10 [916 kB] Get: 32 http://deb.debian.org/debian bookworm/main amd64 bsdextrautils amd64 2.38.1-5+b1 [86.6 kB] Get: 33 http://deb.debian.org/debian bookworm/main amd64 libpipeline1 amd64 1.5.7-1 [38.5 kB] Get: 34 http://deb.debian.org/debian bookworm/main amd64 man-db amd64 2.11.2-2 [1386 kB] Get: 35 http://deb.debian.org/debian bookworm/main amd64 m4 amd64 1.4.19-3 [287 kB] Get: 36 http://deb.debian.org/debian bookworm/main amd64 autoconf all 2.71-3 [332 kB] Get: 37 http://deb.debian.org/debian bookworm/main amd64 autotools-dev all 20220109.1 [51.6 kB] Get: 38 http://deb.debian.org/debian bookworm/main amd64 automake all 1:1.16.5-1.3 [823 kB] Get: 39 http://deb.debian.org/debian bookworm/main amd64 autopoint all 0.21-12 [495 kB] Get: 40 http://deb.debian.org/debian bookworm/main amd64 python3-six all 1.16.0-4 [17.5 kB] Get: 41 http://deb.debian.org/debian bookworm/main amd64 python3-pkg-resources all 66.1.1-1 [296 kB] Get: 42 http://deb.debian.org/debian bookworm/main amd64 python3-scour all 0.38.2-2 [58.0 kB] Get: 43 http://deb.debian.org/debian bookworm/main amd64 scour all 0.38.2-2 [19.3 kB] Get: 44 http://deb.debian.org/debian bookworm/main amd64 cdbs all 0.4.166 [47.3 kB] Get: 45 http://deb.debian.org/debian bookworm/main amd64 dctrl-tools amd64 2.24-3+b1 [104 kB] Get: 46 http://deb.debian.org/debian bookworm/main amd64 libdebhelper-perl all 13.11.4 [81.2 kB] Get: 47 http://deb.debian.org/debian bookworm/main amd64 libtool all 2.4.7-5 [517 kB] Get: 48 http://deb.debian.org/debian bookworm/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 49 http://deb.debian.org/debian bookworm/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 50 http://deb.debian.org/debian bookworm/main amd64 libsub-override-perl all 0.09-4 [9304 B] Get: 51 http://deb.debian.org/debian bookworm/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 52 http://deb.debian.org/debian bookworm/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 53 http://deb.debian.org/debian bookworm/main amd64 libelf1 amd64 0.188-2.1 [174 kB] Get: 54 http://deb.debian.org/debian bookworm/main amd64 dwz amd64 0.15-1 [109 kB] Get: 55 http://deb.debian.org/debian bookworm/main amd64 libicu72 amd64 72.1-3 [9376 kB] Get: 56 http://deb.debian.org/debian bookworm/main amd64 libxml2 amd64 2.9.14+dfsg-1.3~deb12u1 [687 kB] Get: 57 http://deb.debian.org/debian bookworm/main amd64 gettext amd64 0.21-12 [1300 kB] Get: 58 http://deb.debian.org/debian bookworm/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 59 http://deb.debian.org/debian bookworm/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 60 http://deb.debian.org/debian bookworm/main amd64 debhelper all 13.11.4 [942 kB] Get: 61 http://deb.debian.org/debian bookworm/main amd64 dh-buildinfo all 0.11+nmu2 [18.4 kB] Get: 62 http://deb.debian.org/debian bookworm/main amd64 ghc-prof amd64 9.0.2-4 [61.9 MB] Get: 63 http://deb.debian.org/debian bookworm/main amd64 libbrotli1 amd64 1.0.9-2+b6 [275 kB] Get: 64 http://deb.debian.org/debian bookworm/main amd64 libsasl2-modules-db amd64 2.1.28+dfsg-10 [20.3 kB] Get: 65 http://deb.debian.org/debian bookworm/main amd64 libsasl2-2 amd64 2.1.28+dfsg-10 [59.7 kB] Get: 66 http://deb.debian.org/debian bookworm/main amd64 libldap-2.5-0 amd64 2.5.13+dfsg-5 [183 kB] Get: 67 http://deb.debian.org/debian bookworm/main amd64 libnghttp2-14 amd64 1.52.0-1+deb12u1 [72.4 kB] Get: 68 http://deb.debian.org/debian bookworm/main amd64 libpsl5 amd64 0.21.2-1 [58.7 kB] Get: 69 http://deb.debian.org/debian bookworm/main amd64 librtmp1 amd64 2.4+20151223.gitfa8646d.1-2+b2 [60.8 kB] Get: 70 http://deb.debian.org/debian bookworm/main amd64 libssh2-1 amd64 1.10.0-3+b1 [179 kB] Get: 71 http://deb.debian.org/debian bookworm/main amd64 libcurl3-gnutls amd64 7.88.1-10+deb12u4 [385 kB] Get: 72 http://deb.debian.org/debian bookworm/main amd64 html-xml-utils amd64 7.7-1.1 [317 kB] Get: 73 http://deb.debian.org/debian bookworm/main amd64 libparams-util-perl amd64 1.102-2+b1 [24.8 kB] Get: 74 http://deb.debian.org/debian bookworm/main amd64 libsub-install-perl all 0.929-1 [10.5 kB] Get: 75 http://deb.debian.org/debian bookworm/main amd64 libdata-optlist-perl all 0.113-1 [10.6 kB] Get: 76 http://deb.debian.org/debian bookworm/main amd64 libsub-exporter-perl all 0.989-1 [50.5 kB] Get: 77 http://deb.debian.org/debian bookworm/main amd64 libsub-exporter-progressive-perl all 0.001013-3 [7496 B] Get: 78 http://deb.debian.org/debian bookworm/main amd64 libconst-fast-perl all 0.014-2 [8792 B] Get: 79 http://deb.debian.org/debian bookworm/main amd64 libdevel-confess-perl all 0.009004-3 [19.6 kB] Get: 80 http://deb.debian.org/debian bookworm/main amd64 libipc-run3-perl all 0.048-3 [33.2 kB] Get: 81 http://deb.debian.org/debian bookworm/main amd64 libb-hooks-op-check-perl amd64 0.22-2+b1 [10.5 kB] Get: 82 http://deb.debian.org/debian bookworm/main amd64 libdynaloader-functions-perl all 0.003-3 [12.7 kB] Get: 83 http://deb.debian.org/debian bookworm/main amd64 libdevel-callchecker-perl amd64 0.008-2 [15.8 kB] Get: 84 http://deb.debian.org/debian bookworm/main amd64 libparams-classify-perl amd64 0.015-2+b1 [23.1 kB] Get: 85 http://deb.debian.org/debian bookworm/main amd64 libmodule-runtime-perl all 0.016-2 [19.6 kB] Get: 86 http://deb.debian.org/debian bookworm/main amd64 libtry-tiny-perl all 0.31-2 [22.6 kB] Get: 87 http://deb.debian.org/debian bookworm/main amd64 libmodule-implementation-perl all 0.09-2 [12.6 kB] Get: 88 http://deb.debian.org/debian bookworm/main amd64 liblist-someutils-perl all 0.59-1 [37.1 kB] Get: 89 http://deb.debian.org/debian bookworm/main amd64 libpath-tiny-perl all 0.144-1 [56.4 kB] Get: 90 http://deb.debian.org/debian bookworm/main amd64 libtimedate-perl all 2.3300-2 [39.3 kB] Get: 91 http://deb.debian.org/debian bookworm/main amd64 libunicode-utf8-perl amd64 0.62-2 [20.2 kB] Get: 92 http://deb.debian.org/debian bookworm/main amd64 haskell-devscripts-minimal all 0.16.29 [65.6 kB] Get: 93 http://deb.debian.org/debian bookworm/main amd64 libghc-base-orphans-dev amd64 0.8.7-1 [75.4 kB] Get: 94 http://deb.debian.org/debian bookworm/main amd64 libghc-tagged-dev amd64 0.8.6.1-1+b3 [77.0 kB] Get: 95 http://deb.debian.org/debian bookworm/main amd64 libghc-distributive-dev amd64 0.6.2.1-1+b4 [49.8 kB] Get: 96 http://deb.debian.org/debian bookworm/main amd64 libghc-indexed-traversable-dev amd64 0.1.2-2+b1 [139 kB] Get: 97 http://deb.debian.org/debian bookworm/main amd64 libghc-transformers-compat-dev amd64 0.6.6-1+b3 [88.7 kB] Get: 98 http://deb.debian.org/debian bookworm/main amd64 libghc-comonad-dev amd64 5.0.8-1+b2 [125 kB] Get: 99 http://deb.debian.org/debian bookworm/main amd64 libghc-statevar-dev amd64 1.2.2-1+b3 [27.7 kB] Get: 100 http://deb.debian.org/debian bookworm/main amd64 libghc-contravariant-dev amd64 1.5.5-1+b3 [77.1 kB] Get: 101 http://deb.debian.org/debian bookworm/main amd64 libghc-th-abstraction-dev amd64 0.4.5.0-1 [216 kB] Get: 102 http://deb.debian.org/debian bookworm/main amd64 libghc-bifunctors-dev amd64 5.5.13-1 [485 kB] Get: 103 http://deb.debian.org/debian bookworm/main amd64 libghc-profunctors-dev amd64 5.6.2-1+b3 [350 kB] Get: 104 http://deb.debian.org/debian bookworm/main amd64 libghc-hashable-dev amd64 1.3.5.0-2+b3 [115 kB] Get: 105 http://deb.debian.org/debian bookworm/main amd64 libghc-unordered-containers-dev amd64 0.2.17.0-2+b2 [271 kB] Get: 106 http://deb.debian.org/debian bookworm/main amd64 libghc-semigroupoids-dev amd64 5.3.7-1+b3 [442 kB] Get: 107 http://deb.debian.org/debian bookworm/main amd64 libghc-transformers-base-dev amd64 0.4.6-1+b4 [30.4 kB] Get: 108 http://deb.debian.org/debian bookworm/main amd64 libghc-free-dev amd64 5.1.9-1+b4 [690 kB] Get: 109 http://deb.debian.org/debian bookworm/main amd64 libghc-semigroups-dev amd64 0.19.2-1+b1 [21.5 kB] Get: 110 http://deb.debian.org/debian bookworm/main amd64 libghc-void-dev amd64 0.7.3-3+b2 [11.4 kB] Get: 111 http://deb.debian.org/debian bookworm/main amd64 libghc-adjunctions-dev amd64 4.4.2-1+b2 [208 kB] Get: 112 http://deb.debian.org/debian bookworm/main amd64 libghc-base-orphans-prof amd64 0.8.7-1 [68.5 kB] Get: 113 http://deb.debian.org/debian bookworm/main amd64 libghc-tagged-prof amd64 0.8.6.1-1+b3 [72.7 kB] Get: 114 http://deb.debian.org/debian bookworm/main amd64 libghc-distributive-prof amd64 0.6.2.1-1+b4 [49.8 kB] Get: 115 http://deb.debian.org/debian bookworm/main amd64 libghc-indexed-traversable-prof amd64 0.1.2-2+b1 [127 kB] Get: 116 http://deb.debian.org/debian bookworm/main amd64 libghc-transformers-compat-prof amd64 0.6.6-1+b3 [79.1 kB] Get: 117 http://deb.debian.org/debian bookworm/main amd64 libghc-comonad-prof amd64 5.0.8-1+b2 [123 kB] Get: 118 http://deb.debian.org/debian bookworm/main amd64 libghc-statevar-prof amd64 1.2.2-1+b3 [24.7 kB] Get: 119 http://deb.debian.org/debian bookworm/main amd64 libghc-contravariant-prof amd64 1.5.5-1+b3 [72.6 kB] Get: 120 http://deb.debian.org/debian bookworm/main amd64 libghc-th-abstraction-prof amd64 0.4.5.0-1 [194 kB] Get: 121 http://deb.debian.org/debian bookworm/main amd64 libghc-bifunctors-prof amd64 5.5.13-1 [478 kB] Get: 122 http://deb.debian.org/debian bookworm/main amd64 libghc-profunctors-prof amd64 5.6.2-1+b3 [351 kB] Get: 123 http://deb.debian.org/debian bookworm/main amd64 libghc-hashable-prof amd64 1.3.5.0-2+b3 [107 kB] Get: 124 http://deb.debian.org/debian bookworm/main amd64 libghc-unordered-containers-prof amd64 0.2.17.0-2+b2 [312 kB] Get: 125 http://deb.debian.org/debian bookworm/main amd64 libghc-semigroupoids-prof amd64 5.3.7-1+b3 [435 kB] Get: 126 http://deb.debian.org/debian bookworm/main amd64 libghc-transformers-base-prof amd64 0.4.6-1+b4 [29.6 kB] Get: 127 http://deb.debian.org/debian bookworm/main amd64 libghc-free-prof amd64 5.1.9-1+b4 [694 kB] Get: 128 http://deb.debian.org/debian bookworm/main amd64 libghc-semigroups-prof amd64 0.19.2-1+b1 [19.3 kB] Get: 129 http://deb.debian.org/debian bookworm/main amd64 libghc-void-prof amd64 0.7.3-3+b2 [10.1 kB] Get: 130 http://deb.debian.org/debian bookworm/main amd64 libghc-adjunctions-prof amd64 4.4.2-1+b2 [219 kB] Get: 131 http://deb.debian.org/debian bookworm/main amd64 libghc-integer-logarithms-dev amd64 1.0.3.1-2+b1 [38.2 kB] Get: 132 http://deb.debian.org/debian bookworm/main amd64 libghc-primitive-dev amd64 0.7.3.0-1+b3 [309 kB] Get: 133 http://deb.debian.org/debian bookworm/main amd64 libghc-scientific-dev amd64 0.3.7.0-1+b2 [156 kB] Get: 134 http://deb.debian.org/debian bookworm/main amd64 libghc-attoparsec-dev amd64 0.14.4-2+b1 [496 kB] Get: 135 http://deb.debian.org/debian bookworm/main amd64 libghc-base-compat-dev amd64 0.11.2-1+b3 [82.7 kB] Get: 136 http://deb.debian.org/debian bookworm/main amd64 libghc-base-compat-batteries-dev amd64 0.11.2-1+b3 [83.1 kB] Get: 137 http://deb.debian.org/debian bookworm/main amd64 libghc-data-fix-dev amd64 0.3.2-1+b4 [49.4 kB] Get: 138 http://deb.debian.org/debian bookworm/main amd64 libghc-dlist-dev amd64 1.0-2+b4 [72.7 kB] Get: 139 http://deb.debian.org/debian bookworm/main amd64 libghc-onetuple-dev amd64 0.3.1-1+b3 [13.6 kB] Get: 140 http://deb.debian.org/debian bookworm/main amd64 libghc-splitmix-dev amd64 0.1.0.4-1+b3 [49.8 kB] Get: 141 http://deb.debian.org/debian bookworm/main amd64 libghc-random-dev amd64 1.2.1.1-2+b3 [304 kB] Get: 142 http://deb.debian.org/debian bookworm/main amd64 libghc-quickcheck2-dev amd64 2.14.2-1+b3 [676 kB] Get: 143 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-dev amd64 0.12.3.1-1+b2 [1716 kB] Get: 144 http://deb.debian.org/debian bookworm/main amd64 libghc-indexed-traversable-instances-dev amd64 0.1.1.1-1 [31.7 kB] Get: 145 http://deb.debian.org/debian bookworm/main amd64 libghc-assoc-dev amd64 1.0.2-3+b3 [22.5 kB] Get: 146 http://deb.debian.org/debian bookworm/main amd64 libghc-these-dev amd64 1.1.1.1-2+b3 [125 kB] Get: 147 http://deb.debian.org/debian bookworm/main amd64 libghc-semialign-dev amd64 1.2.0.1-1+b4 [170 kB] Get: 148 http://deb.debian.org/debian bookworm/main amd64 libghc-strict-dev amd64 0.4.0.1-1+b3 [213 kB] Get: 149 http://deb.debian.org/debian bookworm/main amd64 libghc-text-short-dev amd64 0.1.5-1+b1 [94.9 kB] Get: 150 http://deb.debian.org/debian bookworm/main amd64 libghc-time-compat-dev amd64 1.9.6.1-1+b3 [165 kB] Get: 151 http://deb.debian.org/debian bookworm/main amd64 libghc-uuid-types-dev amd64 1.0.5-2+b1 [84.4 kB] Get: 152 http://deb.debian.org/debian bookworm/main amd64 libghc-witherable-dev amd64 0.4.2-2+b3 [167 kB] Get: 153 http://deb.debian.org/debian bookworm/main amd64 libghc-aeson-dev amd64 2.0.3.0-1+b5 [1538 kB] Get: 154 http://deb.debian.org/debian bookworm/main amd64 libghc-aeson-doc all 2.0.3.0-1 [465 kB] Get: 155 http://deb.debian.org/debian bookworm/main amd64 libghc-aeson-pretty-dev amd64 0.8.9-1+b4 [34.7 kB] Get: 156 http://deb.debian.org/debian bookworm/main amd64 libghc-aeson-pretty-doc all 0.8.9-1 [48.0 kB] Get: 157 http://deb.debian.org/debian bookworm/main amd64 libghc-integer-logarithms-prof amd64 1.0.3.1-2+b1 [33.5 kB] Get: 158 http://deb.debian.org/debian bookworm/main amd64 libghc-primitive-prof amd64 0.7.3.0-1+b3 [295 kB] Get: 159 http://deb.debian.org/debian bookworm/main amd64 libghc-scientific-prof amd64 0.3.7.0-1+b2 [139 kB] Get: 160 http://deb.debian.org/debian bookworm/main amd64 libghc-attoparsec-prof amd64 0.14.4-2+b1 [495 kB] Get: 161 http://deb.debian.org/debian bookworm/main amd64 libghc-base-compat-prof amd64 0.11.2-1+b3 [63.1 kB] Get: 162 http://deb.debian.org/debian bookworm/main amd64 libghc-base-compat-batteries-prof amd64 0.11.2-1+b3 [63.2 kB] Get: 163 http://deb.debian.org/debian bookworm/main amd64 libghc-data-fix-prof amd64 0.3.2-1+b4 [48.3 kB] Get: 164 http://deb.debian.org/debian bookworm/main amd64 libghc-dlist-prof amd64 1.0-2+b4 [70.1 kB] Get: 165 http://deb.debian.org/debian bookworm/main amd64 libghc-onetuple-prof amd64 0.3.1-1+b3 [11.7 kB] Get: 166 http://deb.debian.org/debian bookworm/main amd64 libghc-splitmix-prof amd64 0.1.0.4-1+b3 [44.3 kB] Get: 167 http://deb.debian.org/debian bookworm/main amd64 libghc-random-prof amd64 1.2.1.1-2+b3 [285 kB] Get: 168 http://deb.debian.org/debian bookworm/main amd64 libghc-quickcheck2-prof amd64 2.14.2-1+b3 [651 kB] Get: 169 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-prof amd64 0.12.3.1-1+b2 [1638 kB] Get: 170 http://deb.debian.org/debian bookworm/main amd64 libghc-indexed-traversable-instances-prof amd64 0.1.1.1-1 [30.1 kB] Get: 171 http://deb.debian.org/debian bookworm/main amd64 libghc-assoc-prof amd64 1.0.2-3+b3 [19.9 kB] Get: 172 http://deb.debian.org/debian bookworm/main amd64 libghc-these-prof amd64 1.1.1.1-2+b3 [116 kB] Get: 173 http://deb.debian.org/debian bookworm/main amd64 libghc-semialign-prof amd64 1.2.0.1-1+b4 [158 kB] Get: 174 http://deb.debian.org/debian bookworm/main amd64 libghc-strict-prof amd64 0.4.0.1-1+b3 [196 kB] Get: 175 http://deb.debian.org/debian bookworm/main amd64 libghc-text-short-prof amd64 0.1.5-1+b1 [85.1 kB] Get: 176 http://deb.debian.org/debian bookworm/main amd64 libghc-time-compat-prof amd64 1.9.6.1-1+b3 [149 kB] Get: 177 http://deb.debian.org/debian bookworm/main amd64 libghc-uuid-types-prof amd64 1.0.5-2+b1 [76.9 kB] Get: 178 http://deb.debian.org/debian bookworm/main amd64 libghc-witherable-prof amd64 0.4.2-2+b3 [161 kB] Get: 179 http://deb.debian.org/debian bookworm/main amd64 libghc-aeson-prof amd64 2.0.3.0-1+b5 [1557 kB] Get: 180 http://deb.debian.org/debian bookworm/main amd64 libghc-aeson-pretty-prof amd64 0.8.9-1+b4 [31.5 kB] Get: 181 http://deb.debian.org/debian bookworm/main amd64 libghc-colour-dev amd64 2.3.6-1+b3 [199 kB] Get: 182 http://deb.debian.org/debian bookworm/main amd64 libghc-ansi-terminal-dev amd64 0.11.3-1+b3 [169 kB] Get: 183 http://deb.debian.org/debian bookworm/main amd64 libghc-ansi-terminal-doc all 0.11.3-1 [79.6 kB] Get: 184 http://deb.debian.org/debian bookworm/main amd64 libghc-colour-prof amd64 2.3.6-1+b3 [216 kB] Get: 185 http://deb.debian.org/debian bookworm/main amd64 libghc-ansi-terminal-prof amd64 0.11.3-1+b3 [149 kB] Get: 186 http://deb.debian.org/debian bookworm/main amd64 libghc-ansi-wl-pprint-dev amd64 0.6.9-5+b3 [72.0 kB] Get: 187 http://deb.debian.org/debian bookworm/main amd64 libghc-ansi-wl-pprint-prof amd64 0.6.9-5+b3 [72.1 kB] Get: 188 http://deb.debian.org/debian bookworm/main amd64 libghc-lazysmallcheck-dev amd64 0.6-13+b3 [65.9 kB] Get: 189 http://deb.debian.org/debian bookworm/main amd64 libghc-stream-dev amd64 0.4.7.2-8+b2 [46.6 kB] Get: 190 http://deb.debian.org/debian bookworm/main amd64 libghc-arrows-dev amd64 0.4.4.2-4+b1 [244 kB] Get: 191 http://deb.debian.org/debian bookworm/main amd64 libghc-lazysmallcheck-prof amd64 0.6-13+b3 [51.7 kB] Get: 192 http://deb.debian.org/debian bookworm/main amd64 libghc-stream-prof amd64 0.4.7.2-8+b2 [44.3 kB] Get: 193 http://deb.debian.org/debian bookworm/main amd64 libghc-arrows-prof amd64 0.4.4.2-4+b1 [241 kB] Get: 194 http://deb.debian.org/debian bookworm/main amd64 libghc-async-dev amd64 2.2.4-1+b2 [70.7 kB] Get: 195 http://deb.debian.org/debian bookworm/main amd64 libghc-async-doc all 2.2.4-1 [73.1 kB] Get: 196 http://deb.debian.org/debian bookworm/main amd64 libghc-async-prof amd64 2.2.4-1+b2 [67.2 kB] Get: 197 http://deb.debian.org/debian bookworm/main amd64 libghc-attoparsec-doc all 0.14.4-2 [177 kB] Get: 198 http://deb.debian.org/debian bookworm/main amd64 libghc-base16-bytestring-dev amd64 1.0.2.0-1+b3 [29.1 kB] Get: 199 http://deb.debian.org/debian bookworm/main amd64 libghc-base16-bytestring-doc all 1.0.2.0-1 [46.8 kB] Get: 200 http://deb.debian.org/debian bookworm/main amd64 libghc-base16-bytestring-prof amd64 1.0.2.0-1+b3 [25.8 kB] Get: 201 http://deb.debian.org/debian bookworm/main amd64 libghc-blaze-builder-dev amd64 0.4.2.2-1+b3 [86.9 kB] Get: 202 http://deb.debian.org/debian bookworm/main amd64 libghc-blaze-builder-prof amd64 0.4.2.2-1+b3 [78.8 kB] Get: 203 http://deb.debian.org/debian bookworm/main amd64 libghc-blaze-markup-dev amd64 0.8.2.8-1+b2 [121 kB] Get: 204 http://deb.debian.org/debian bookworm/main amd64 libghc-blaze-html-dev amd64 0.9.1.2-2+b1 [416 kB] Get: 205 http://deb.debian.org/debian bookworm/main amd64 libghc-blaze-markup-prof amd64 0.8.2.8-1+b2 [122 kB] Get: 206 http://deb.debian.org/debian bookworm/main amd64 libghc-blaze-html-prof amd64 0.9.1.2-2+b1 [331 kB] Get: 207 http://deb.debian.org/debian bookworm/main amd64 libghc-call-stack-dev amd64 0.4.0-1+b3 [23.0 kB] Get: 208 http://deb.debian.org/debian bookworm/main amd64 libghc-call-stack-prof amd64 0.4.0-1+b3 [19.5 kB] Get: 209 http://deb.debian.org/debian bookworm/main amd64 libghc-charset-dev amd64 0.3.9-1+b1 [258 kB] Get: 210 http://deb.debian.org/debian bookworm/main amd64 libghc-charset-prof amd64 0.3.9-1+b1 [232 kB] Get: 211 http://deb.debian.org/debian bookworm/main amd64 libghc-type-equality-dev amd64 1-3+b3 [10.3 kB] Get: 212 http://deb.debian.org/debian bookworm/main amd64 libghc-constraints-dev amd64 0.13.4-1+b3 [254 kB] Get: 213 http://deb.debian.org/debian bookworm/main amd64 libghc-data-binary-ieee754-dev amd64 0.4.4-11+b4 [26.0 kB] Get: 214 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-class-dev amd64 0.1.2.0-6+b4 [21.3 kB] Get: 215 http://deb.debian.org/debian bookworm/main amd64 libghc-clock-dev amd64 0.8.3-1+b3 [94.6 kB] Get: 216 http://deb.debian.org/debian bookworm/main amd64 libghc-extra-dev amd64 1.7.12-1 [185 kB] Get: 217 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-tcplugins-extra-dev amd64 0.4.3-1 [76.5 kB] Get: 218 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-typelits-natnormalise-dev amd64 0.7.7-1 [174 kB] Get: 219 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-typelits-knownnat-dev amd64 0.7.7-1 [71.3 kB] Get: 220 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-typelits-extra-dev amd64 0.4.3-2+b5 [92.9 kB] Get: 221 http://deb.debian.org/debian bookworm/main amd64 libghc-half-dev amd64 0.3.1-1+b3 [57.7 kB] Get: 222 http://deb.debian.org/debian bookworm/main amd64 libghc-src-exts-dev amd64 1.23.1-3+b3 [3924 kB] Get: 223 http://deb.debian.org/debian bookworm/main amd64 libghc-syb-dev amd64 0.7.2.2-1 [110 kB] Get: 224 http://deb.debian.org/debian bookworm/main amd64 libghc-th-compat-dev amd64 0.1.4-1 [33.0 kB] Get: 225 http://deb.debian.org/debian bookworm/main amd64 libghc-th-lift-dev amd64 0.8.2-1+b4 [56.0 kB] Get: 226 http://deb.debian.org/debian bookworm/main amd64 libghc-safe-dev amd64 0.3.19-2+b2 [85.6 kB] Get: 227 http://deb.debian.org/debian bookworm/main amd64 libghc-th-expand-syns-dev amd64 0.4.10.0-1 [41.8 kB] Get: 228 http://deb.debian.org/debian bookworm/main amd64 libghc-th-reify-many-dev amd64 0.1.10-1+b4 [36.0 kB] Get: 229 http://deb.debian.org/debian bookworm/main amd64 libghc-th-orphans-dev amd64 0.13.14-1+b2 [176 kB] Get: 230 http://deb.debian.org/debian bookworm/main amd64 libghc-src-meta-dev amd64 0.8.11-1 [153 kB] Get: 231 http://deb.debian.org/debian bookworm/main amd64 libghc-interpolate-dev amd64 0.2.1-2+b4 [53.0 kB] Get: 232 http://deb.debian.org/debian bookworm/main amd64 libghc-invariant-dev amd64 0.5.6-1+b3 [210 kB] Get: 233 http://deb.debian.org/debian bookworm/main amd64 libghc-kan-extensions-dev amd64 5.2.5-1+b3 [221 kB] Get: 234 http://deb.debian.org/debian bookworm/main amd64 libghc-parallel-dev amd64 3.2.2.0-4+b3 [56.1 kB] Get: 235 http://deb.debian.org/debian bookworm/main amd64 libghc-reflection-dev amd64 2.1.6-2+b3 [259 kB] Get: 236 http://deb.debian.org/debian bookworm/main amd64 libghc-lens-dev amd64 5.0.1-2+b4 [1842 kB] Get: 237 http://deb.debian.org/debian bookworm/main amd64 libghc-recursion-schemes-dev amd64 5.2.2.2-2+b3 [232 kB] Get: 238 http://deb.debian.org/debian bookworm/main amd64 libghc-singletons-dev amd64 3.0.2-1 [79.3 kB] Get: 239 http://deb.debian.org/debian bookworm/main amd64 libghc-first-class-families-dev amd64 0.8.0.1-1+b4 [79.7 kB] Get: 240 http://deb.debian.org/debian bookworm/main amd64 libghc-type-errors-dev amd64 0.2.0.0-4+b5 [28.9 kB] Get: 241 http://deb.debian.org/debian bookworm/main amd64 libghc-uniplate-dev amd64 1.6.13-1+b3 [334 kB] Get: 242 http://deb.debian.org/debian bookworm/main amd64 libghc-clash-prelude-dev amd64 1.6.4-1+b5 [2792 kB] Get: 243 http://deb.debian.org/debian bookworm/main amd64 libghc-clash-prelude-doc all 1.6.4-1 [1626 kB] Get: 244 http://deb.debian.org/debian bookworm/main amd64 libghc-type-equality-prof amd64 1-3+b3 [8448 B] Get: 245 http://deb.debian.org/debian bookworm/main amd64 libghc-constraints-prof amd64 0.13.4-1+b3 [223 kB] Get: 246 http://deb.debian.org/debian bookworm/main amd64 libghc-data-binary-ieee754-prof amd64 0.4.4-11+b4 [24.5 kB] Get: 247 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-class-prof amd64 0.1.2.0-6+b4 [17.9 kB] Get: 248 http://deb.debian.org/debian bookworm/main amd64 libghc-clock-prof amd64 0.8.3-1+b3 [80.3 kB] Get: 249 http://deb.debian.org/debian bookworm/main amd64 libghc-extra-prof amd64 1.7.12-1 [187 kB] Get: 250 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-tcplugins-extra-prof amd64 0.4.3-1 [73.3 kB] Get: 251 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-typelits-natnormalise-prof amd64 0.7.7-1 [150 kB] Get: 252 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-typelits-knownnat-prof amd64 0.7.7-1 [60.1 kB] Get: 253 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-typelits-extra-prof amd64 0.4.3-2+b5 [80.4 kB] Get: 254 http://deb.debian.org/debian bookworm/main amd64 libghc-half-prof amd64 0.3.1-1+b3 [47.5 kB] Get: 255 http://deb.debian.org/debian bookworm/main amd64 libghc-src-exts-prof amd64 1.23.1-3+b3 [3493 kB] Get: 256 http://deb.debian.org/debian bookworm/main amd64 libghc-syb-prof amd64 0.7.2.2-1 [102 kB] Get: 257 http://deb.debian.org/debian bookworm/main amd64 libghc-th-compat-prof amd64 0.1.4-1 [29.7 kB] Get: 258 http://deb.debian.org/debian bookworm/main amd64 libghc-th-lift-prof amd64 0.8.2-1+b4 [52.6 kB] Get: 259 http://deb.debian.org/debian bookworm/main amd64 libghc-safe-prof amd64 0.3.19-2+b2 [89.2 kB] Get: 260 http://deb.debian.org/debian bookworm/main amd64 libghc-th-expand-syns-prof amd64 0.4.10.0-1 [37.2 kB] Get: 261 http://deb.debian.org/debian bookworm/main amd64 libghc-th-reify-many-prof amd64 0.1.10-1+b4 [32.8 kB] Get: 262 http://deb.debian.org/debian bookworm/main amd64 libghc-th-orphans-prof amd64 0.13.14-1+b2 [170 kB] Get: 263 http://deb.debian.org/debian bookworm/main amd64 libghc-src-meta-prof amd64 0.8.11-1 [133 kB] Get: 264 http://deb.debian.org/debian bookworm/main amd64 libghc-interpolate-prof amd64 0.2.1-2+b4 [48.1 kB] Get: 265 http://deb.debian.org/debian bookworm/main amd64 libghc-invariant-prof amd64 0.5.6-1+b3 [200 kB] Get: 266 http://deb.debian.org/debian bookworm/main amd64 libghc-kan-extensions-prof amd64 5.2.5-1+b3 [225 kB] Get: 267 http://deb.debian.org/debian bookworm/main amd64 libghc-parallel-prof amd64 3.2.2.0-4+b3 [58.9 kB] Get: 268 http://deb.debian.org/debian bookworm/main amd64 libghc-reflection-prof amd64 2.1.6-2+b3 [196 kB] Get: 269 http://deb.debian.org/debian bookworm/main amd64 libghc-lens-prof amd64 5.0.1-2+b4 [1823 kB] Get: 270 http://deb.debian.org/debian bookworm/main amd64 libghc-recursion-schemes-prof amd64 5.2.2.2-2+b3 [226 kB] Get: 271 http://deb.debian.org/debian bookworm/main amd64 libghc-singletons-prof amd64 3.0.2-1 [76.2 kB] Get: 272 http://deb.debian.org/debian bookworm/main amd64 libghc-first-class-families-prof amd64 0.8.0.1-1+b4 [66.0 kB] Get: 273 http://deb.debian.org/debian bookworm/main amd64 libghc-type-errors-prof amd64 0.2.0.0-4+b5 [25.2 kB] Get: 274 http://deb.debian.org/debian bookworm/main amd64 libghc-uniplate-prof amd64 1.6.13-1+b3 [327 kB] Get: 275 http://deb.debian.org/debian bookworm/main amd64 libghc-clash-prelude-prof amd64 1.6.4-1+b5 [2590 kB] Get: 276 http://deb.debian.org/debian bookworm/main amd64 libghc-concurrent-supply-dev amd64 0.1.8-7 [30.9 kB] Get: 277 http://deb.debian.org/debian bookworm/main amd64 libghc-concurrent-supply-doc all 0.1.8-7 [43.2 kB] Get: 278 http://deb.debian.org/debian bookworm/main amd64 libghc-concurrent-supply-prof amd64 0.1.8-7 [26.7 kB] Get: 279 http://deb.debian.org/debian bookworm/main amd64 libghc-split-dev amd64 0.2.3.5-1 [50.6 kB] Get: 280 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-algorithms-dev amd64 0.8.0.4-1+b1 [318 kB] Get: 281 http://deb.debian.org/debian bookworm/main amd64 libghc-mono-traversable-dev amd64 1.0.15.3-2+b2 [733 kB] Get: 282 http://deb.debian.org/debian bookworm/main amd64 libghc-unliftio-core-dev amd64 0.2.0.1-2+b3 [25.8 kB] Get: 283 http://deb.debian.org/debian bookworm/main amd64 libghc-resourcet-dev amd64 1.2.6-1+b1 [111 kB] Get: 284 http://deb.debian.org/debian bookworm/main amd64 libghc-conduit-dev amd64 1.3.4.3-1 [423 kB] Get: 285 http://deb.debian.org/debian bookworm/main amd64 libghc-split-prof amd64 0.2.3.5-1 [45.8 kB] Get: 286 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-algorithms-prof amd64 0.8.0.4-1+b1 [396 kB] Get: 287 http://deb.debian.org/debian bookworm/main amd64 libghc-mono-traversable-prof amd64 1.0.15.3-2+b2 [690 kB] Get: 288 http://deb.debian.org/debian bookworm/main amd64 libghc-unliftio-core-prof amd64 0.2.0.1-2+b3 [22.3 kB] Get: 289 http://deb.debian.org/debian bookworm/main amd64 libghc-resourcet-prof amd64 1.2.6-1+b1 [101 kB] Get: 290 http://deb.debian.org/debian bookworm/main amd64 libghc-conduit-prof amd64 1.3.4.3-1 [443 kB] Get: 291 http://deb.debian.org/debian bookworm/main amd64 libghc-data-binary-ieee754-doc all 0.4.4-11 [40.7 kB] Get: 292 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-instances-containers-dev amd64 0.0.1-12+b3 [12.2 kB] Get: 293 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-instances-dlist-dev amd64 0.0.1-11+b3 [11.1 kB] Get: 294 http://deb.debian.org/debian bookworm/main amd64 libghc-old-locale-dev amd64 1.0.0.7-10+b3 [37.2 kB] Get: 295 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-instances-old-locale-dev amd64 0.0.1-11+b3 [11.0 kB] Get: 296 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-dev amd64 0.7.1.1-6+b3 [11.4 kB] Get: 297 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-doc all 0.7.1.1-6 [39.2 kB] Get: 298 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-instances-containers-prof amd64 0.0.1-12+b3 [10.4 kB] Get: 299 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-instances-dlist-prof amd64 0.0.1-11+b3 [9468 B] Get: 300 http://deb.debian.org/debian bookworm/main amd64 libghc-old-locale-prof amd64 1.0.0.7-10+b3 [30.4 kB] Get: 301 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-instances-old-locale-prof amd64 0.0.1-11+b3 [9316 B] Get: 302 http://deb.debian.org/debian bookworm/main amd64 libghc-data-default-prof amd64 0.7.1.1-6+b3 [9688 B] Get: 303 http://deb.debian.org/debian bookworm/main amd64 libghc-dlist-doc all 1.0-2 [66.8 kB] Get: 304 http://deb.debian.org/debian bookworm/main amd64 libghc-extra-doc all 1.7.12-1 [169 kB] Get: 305 http://deb.debian.org/debian bookworm/main amd64 libghc-fingertree-dev amd64 0.1.5.0-1+b3 [241 kB] Get: 306 http://deb.debian.org/debian bookworm/main amd64 libghc-fingertree-prof amd64 0.1.5.0-1+b3 [247 kB] Get: 307 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-paths-dev amd64 0.1.0.12-2+b3 [14.2 kB] Get: 308 http://deb.debian.org/debian bookworm/main amd64 libghc-ghc-paths-prof amd64 0.1.0.12-2+b3 [12.6 kB] Get: 309 http://deb.debian.org/debian bookworm/main amd64 libghc-glob-dev amd64 0.10.2-1+b2 [128 kB] Get: 310 http://deb.debian.org/debian bookworm/main amd64 libghc-glob-prof amd64 0.10.2-1+b2 [119 kB] Get: 311 http://deb.debian.org/debian bookworm/main amd64 libghc-hashable-doc all 1.3.5.0-2 [87.6 kB] Get: 312 http://deb.debian.org/debian bookworm/main amd64 libghc-haskell-lexer-dev amd64 1.1-2+b2 [315 kB] Get: 313 http://deb.debian.org/debian bookworm/main amd64 libghc-haskell-lexer-prof amd64 1.1-2+b2 [291 kB] Get: 314 http://deb.debian.org/debian bookworm/main amd64 libghc-temporary-dev amd64 1.3-3+b2 [26.7 kB] Get: 315 http://deb.debian.org/debian bookworm/main amd64 libghc-hint-dev amd64 0.9.0.6-1+b2 [453 kB] Get: 316 http://deb.debian.org/debian bookworm/main amd64 libghc-hint-doc all 0.9.0.6-1 [146 kB] Get: 317 http://deb.debian.org/debian bookworm/main amd64 libghc-temporary-prof amd64 1.3-3+b2 [24.6 kB] Get: 318 http://deb.debian.org/debian bookworm/main amd64 libghc-hint-prof amd64 0.9.0.6-1+b2 [400 kB] Get: 319 http://deb.debian.org/debian bookworm/main amd64 libghc-interpolate-doc all 0.2.1-2 [49.6 kB] Get: 320 http://deb.debian.org/debian bookworm/main amd64 libghc-lens-doc all 5.0.1-2 [1682 kB] Get: 321 http://deb.debian.org/debian bookworm/main amd64 libyaml-0-2 amd64 0.2.5-1 [53.6 kB] Get: 322 http://deb.debian.org/debian bookworm/main amd64 libyaml-dev amd64 0.2.5-1 [64.5 kB] Get: 323 http://deb.debian.org/debian bookworm/main amd64 libpkgconf3 amd64 1.8.1-1 [36.1 kB] Get: 324 http://deb.debian.org/debian bookworm/main amd64 pkgconf-bin amd64 1.8.1-1 [29.5 kB] Get: 325 http://deb.debian.org/debian bookworm/main amd64 pkgconf amd64 1.8.1-1 [25.9 kB] Get: 326 http://deb.debian.org/debian bookworm/main amd64 pkg-config amd64 1.8.1-1 [13.7 kB] Get: 327 http://deb.debian.org/debian bookworm/main amd64 libghc-libyaml-dev amd64 0.1.2-2+b4 [173 kB] Get: 328 http://deb.debian.org/debian bookworm/main amd64 libghc-libyaml-prof amd64 0.1.2-2+b4 [144 kB] Get: 329 http://deb.debian.org/debian bookworm/main amd64 libghc-optparse-applicative-dev amd64 0.16.1.0-1+b2 [327 kB] Get: 330 http://deb.debian.org/debian bookworm/main amd64 libghc-optparse-applicative-prof amd64 0.16.1.0-1+b2 [323 kB] Get: 331 http://deb.debian.org/debian bookworm/main amd64 libghc-ordered-containers-dev amd64 0.2.2-3+b2 [146 kB] Get: 332 http://deb.debian.org/debian bookworm/main amd64 libghc-ordered-containers-doc all 0.2.2-3 [71.8 kB] Get: 333 http://deb.debian.org/debian bookworm/main amd64 libghc-ordered-containers-prof amd64 0.2.2-3+b2 [134 kB] Get: 334 http://deb.debian.org/debian bookworm/main amd64 libghc-parsers-dev amd64 0.12.11-1+b2 [321 kB] Get: 335 http://deb.debian.org/debian bookworm/main amd64 libghc-parsers-prof amd64 0.12.11-1+b2 [303 kB] Get: 336 http://deb.debian.org/debian bookworm/main amd64 libghc-pretty-show-dev amd64 1.10-2+b2 [155 kB] Get: 337 http://deb.debian.org/debian bookworm/main amd64 libghc-pretty-show-doc all 1.10-2 [93.9 kB] Get: 338 http://deb.debian.org/debian bookworm/main amd64 libghc-pretty-show-prof amd64 1.10-2+b2 [135 kB] Get: 339 http://deb.debian.org/debian bookworm/main amd64 libghc-prettyprinter-dev amd64 1.7.1-1+b3 [281 kB] Get: 340 http://deb.debian.org/debian bookworm/main amd64 libghc-prettyprinter-ansi-terminal-dev amd64 1.1.3-1+b2 [77.7 kB] Get: 341 http://deb.debian.org/debian bookworm/main amd64 libghc-prettyprinter-prof amd64 1.7.1-1+b3 [269 kB] Get: 342 http://deb.debian.org/debian bookworm/main amd64 libghc-prettyprinter-ansi-terminal-prof amd64 1.1.3-1+b2 [64.9 kB] Get: 343 http://deb.debian.org/debian bookworm/main amd64 libghc-prettyprinter-doc all 1.7.1-1 [175 kB] Get: 344 http://deb.debian.org/debian bookworm/main amd64 libghc-primitive-doc all 0.7.3.0-1 [208 kB] Get: 345 http://deb.debian.org/debian bookworm/main amd64 libghc-reducers-dev amd64 3.12.4-1+b3 [197 kB] Get: 346 http://deb.debian.org/debian bookworm/main amd64 libghc-reducers-prof amd64 3.12.4-1+b3 [196 kB] Get: 347 http://deb.debian.org/debian bookworm/main amd64 libghc-src-meta-doc all 0.8.11-1 [98.7 kB] Get: 348 http://deb.debian.org/debian bookworm/main amd64 libghc-stringsearch-dev amd64 0.3.6.6-11+b2 [153 kB] Get: 349 http://deb.debian.org/debian bookworm/main amd64 libghc-stringsearch-prof amd64 0.3.6.6-11+b2 [141 kB] Get: 350 http://deb.debian.org/debian bookworm/main amd64 libghc-unbounded-delays-dev amd64 0.1.1.1-1+b3 [21.3 kB] Get: 351 http://deb.debian.org/debian bookworm/main amd64 libghc-wcwidth-dev amd64 0.0.2-3+b3 [12.7 kB] Get: 352 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-dev amd64 1.4.2.3-1+b2 [377 kB] Get: 353 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-doc all 1.4.2.3-1 [184 kB] Get: 354 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-hunit-dev amd64 0.10.0.3-1+b1 [45.6 kB] Get: 355 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-hunit-doc all 0.10.0.3-1 [54.0 kB] Get: 356 http://deb.debian.org/debian bookworm/main amd64 libghc-unbounded-delays-prof amd64 0.1.1.1-1+b3 [18.1 kB] Get: 357 http://deb.debian.org/debian bookworm/main amd64 libghc-wcwidth-prof amd64 0.0.2-3+b3 [11.0 kB] Get: 358 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-prof amd64 1.4.2.3-1+b2 [346 kB] Get: 359 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-hunit-prof amd64 0.10.0.3-1+b1 [39.7 kB] Get: 360 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-quickcheck-dev amd64 0.10.2-1+b2 [49.0 kB] Get: 361 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-quickcheck-doc all 0.10.2-1 [98.1 kB] Get: 362 http://deb.debian.org/debian bookworm/main amd64 libghc-tasty-quickcheck-prof amd64 0.10.2-1+b2 [40.4 kB] Get: 363 http://deb.debian.org/debian bookworm/main amd64 libghc-temporary-doc all 1.3-3 [45.5 kB] Get: 364 http://deb.debian.org/debian bookworm/main amd64 libghc-terminal-size-dev amd64 0.3.3-1+b3 [48.1 kB] Get: 365 http://deb.debian.org/debian bookworm/main amd64 libghc-terminal-size-doc all 0.3.3-1 [45.1 kB] Get: 366 http://deb.debian.org/debian bookworm/main amd64 libghc-terminal-size-prof amd64 0.3.3-1+b3 [43.9 kB] Get: 367 http://deb.debian.org/debian bookworm/main amd64 libghc-utf8-string-dev amd64 1.0.2-1+b2 [95.3 kB] Get: 368 http://deb.debian.org/debian bookworm/main amd64 libghc-trifecta-dev amd64 2.1.2-1+b4 [471 kB] Get: 369 http://deb.debian.org/debian bookworm/main amd64 libghc-trifecta-doc all 2.1.2-1 [195 kB] Get: 370 http://deb.debian.org/debian bookworm/main amd64 libghc-utf8-string-prof amd64 1.0.2-1+b2 [87.6 kB] Get: 371 http://deb.debian.org/debian bookworm/main amd64 libghc-trifecta-prof amd64 2.1.2-1+b4 [457 kB] Get: 372 http://deb.debian.org/debian bookworm/main amd64 libghc-unordered-containers-doc all 0.2.17.0-2 [187 kB] Get: 373 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-binary-instances-dev amd64 0.2.5.2-1+b1 [36.2 kB] Get: 374 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-binary-instances-doc all 0.2.5.2-1 [41.0 kB] Get: 375 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-binary-instances-prof amd64 0.2.5.2-1+b1 [34.8 kB] Get: 376 http://deb.debian.org/debian bookworm/main amd64 libghc-vector-doc all 0.12.3.1-1 [552 kB] Get: 377 http://deb.debian.org/debian bookworm/main amd64 libghc-yaml-dev amd64 0.11.8.0-1+b5 [246 kB] Get: 378 http://deb.debian.org/debian bookworm/main amd64 libghc-yaml-doc all 0.11.8.0-1 [136 kB] Get: 379 http://deb.debian.org/debian bookworm/main amd64 libghc-yaml-prof amd64 0.11.8.0-1+b5 [237 kB] Get: 380 http://deb.debian.org/debian bookworm/main amd64 libghc-cryptohash-sha256-dev amd64 0.11.102.1-1+b1 [37.5 kB] Get: 381 http://deb.debian.org/debian bookworm/main amd64 libghc-cryptohash-sha256-doc all 0.11.102.1-1 [52.0 kB] Get: 382 http://deb.debian.org/debian bookworm/main amd64 libghc-cryptohash-sha256-prof amd64 0.11.102.1-1+b1 [31.9 kB] Get: 383 http://deb.debian.org/debian bookworm/main amd64 libghc-quickcheck-text-dev amd64 0.1.2.1-6+b2 [31.1 kB] Get: 384 http://deb.debian.org/debian bookworm/main amd64 libghc-quickcheck-text-doc all 0.1.2.1-6 [41.9 kB] Get: 385 http://deb.debian.org/debian bookworm/main amd64 libghc-quickcheck-text-prof amd64 0.1.2.1-6+b2 [31.0 kB] Fetched 255 MB in 4s (58.6 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package libgmpxx4ldbl:amd64. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 18148 files and directories currently installed.) Preparing to unpack .../00-libgmpxx4ldbl_2%3a6.2.1+dfsg1-1.1_amd64.deb ... Unpacking libgmpxx4ldbl:amd64 (2:6.2.1+dfsg1-1.1) ... Selecting previously unselected package libgmp-dev:amd64. Preparing to unpack .../01-libgmp-dev_2%3a6.2.1+dfsg1-1.1_amd64.deb ... Unpacking libgmp-dev:amd64 (2:6.2.1+dfsg1-1.1) ... Selecting previously unselected package libffi-dev:amd64. Preparing to unpack .../02-libffi-dev_3.4.4-1_amd64.deb ... Unpacking libffi-dev:amd64 (3.4.4-1) ... Selecting previously unselected package libbsd0:amd64. Preparing to unpack .../03-libbsd0_0.11.7-2_amd64.deb ... Unpacking libbsd0:amd64 (0.11.7-2) ... Selecting previously unselected package libmd-dev:amd64. Preparing to unpack .../04-libmd-dev_1.0.4-2_amd64.deb ... Unpacking libmd-dev:amd64 (1.0.4-2) ... Selecting previously unselected package libbsd-dev:amd64. Preparing to unpack .../05-libbsd-dev_0.11.7-2_amd64.deb ... Unpacking libbsd-dev:amd64 (0.11.7-2) ... Selecting previously unselected package libncurses6:amd64. Preparing to unpack .../06-libncurses6_6.4-4_amd64.deb ... Unpacking libncurses6:amd64 (6.4-4) ... Selecting previously unselected package libncurses-dev:amd64. Preparing to unpack .../07-libncurses-dev_6.4-4_amd64.deb ... Unpacking libncurses-dev:amd64 (6.4-4) ... Selecting previously unselected package ghc. Preparing to unpack .../08-ghc_9.0.2-4_amd64.deb ... Unpacking ghc (9.0.2-4) ... Selecting previously unselected package fonts-mathjax. Preparing to unpack .../09-fonts-mathjax_2.7.9+dfsg-1_all.deb ... Unpacking fonts-mathjax (2.7.9+dfsg-1) ... Selecting previously unselected package libjs-mathjax. Preparing to unpack .../10-libjs-mathjax_2.7.9+dfsg-1_all.deb ... Unpacking libjs-mathjax (2.7.9+dfsg-1) ... Selecting previously unselected package ghc-doc. Preparing to unpack .../11-ghc-doc_9.0.2-4_all.deb ... Unpacking ghc-doc (9.0.2-4) ... Selecting previously unselected package libpython3.11-minimal:amd64. Preparing to unpack .../12-libpython3.11-minimal_3.11.2-6_amd64.deb ... Unpacking libpython3.11-minimal:amd64 (3.11.2-6) ... Selecting previously unselected package libexpat1:amd64. Preparing to unpack .../13-libexpat1_2.5.0-1_amd64.deb ... Unpacking libexpat1:amd64 (2.5.0-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../14-python3.11-minimal_3.11.2-6_amd64.deb ... Unpacking python3.11-minimal (3.11.2-6) ... Setting up libpython3.11-minimal:amd64 (3.11.2-6) ... Setting up libexpat1:amd64 (2.5.0-1) ... Setting up python3.11-minimal (3.11.2-6) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 31097 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.11.2-1+b1_amd64.deb ... Unpacking python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package readline-common. Preparing to unpack .../2-readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package libreadline8:amd64. Preparing to unpack .../3-libreadline8_8.2-1.3_amd64.deb ... Unpacking libreadline8:amd64 (8.2-1.3) ... Selecting previously unselected package libpython3.11-stdlib:amd64. Preparing to unpack .../4-libpython3.11-stdlib_3.11.2-6_amd64.deb ... Unpacking libpython3.11-stdlib:amd64 (3.11.2-6) ... Selecting previously unselected package python3.11. Preparing to unpack .../5-python3.11_3.11.2-6_amd64.deb ... Unpacking python3.11 (3.11.2-6) ... Selecting previously unselected package libpython3-stdlib:amd64. Preparing to unpack .../6-libpython3-stdlib_3.11.2-1+b1_amd64.deb ... Unpacking libpython3-stdlib:amd64 (3.11.2-1+b1) ... Setting up python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 31531 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.2-1+b1_amd64.deb ... Unpacking python3 (3.11.2-1+b1) ... Selecting previously unselected package sgml-base. Preparing to unpack .../001-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../002-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../003-libmagic-mgc_1%3a5.44-3_amd64.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:amd64. Preparing to unpack .../004-libmagic1_1%3a5.44-3_amd64.deb ... Unpacking libmagic1:amd64 (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../005-file_1%3a5.44-3_amd64.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../006-gettext-base_0.21-12_amd64.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../007-libuchardet0_0.0.7-1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../008-groff-base_1.22.4-10_amd64.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../009-bsdextrautils_2.38.1-5+b1_amd64.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../010-libpipeline1_1.5.7-1_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../011-man-db_2.11.2-2_amd64.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package m4. Preparing to unpack .../012-m4_1.4.19-3_amd64.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package autoconf. Preparing to unpack .../013-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../014-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../015-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../016-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package python3-six. Preparing to unpack .../017-python3-six_1.16.0-4_all.deb ... Unpacking python3-six (1.16.0-4) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../018-python3-pkg-resources_66.1.1-1_all.deb ... Unpacking python3-pkg-resources (66.1.1-1) ... Selecting previously unselected package python3-scour. Preparing to unpack .../019-python3-scour_0.38.2-2_all.deb ... Unpacking python3-scour (0.38.2-2) ... Selecting previously unselected package scour. Preparing to unpack .../020-scour_0.38.2-2_all.deb ... Unpacking scour (0.38.2-2) ... Selecting previously unselected package cdbs. Preparing to unpack .../021-cdbs_0.4.166_all.deb ... Unpacking cdbs (0.4.166) ... Selecting previously unselected package dctrl-tools. Preparing to unpack .../022-dctrl-tools_2.24-3+b1_amd64.deb ... Unpacking dctrl-tools (2.24-3+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../023-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../024-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../025-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../026-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../027-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../028-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../029-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:amd64. Preparing to unpack .../030-libelf1_0.188-2.1_amd64.deb ... Unpacking libelf1:amd64 (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../031-dwz_0.15-1_amd64.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package libicu72:amd64. Preparing to unpack .../032-libicu72_72.1-3_amd64.deb ... Unpacking libicu72:amd64 (72.1-3) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../033-libxml2_2.9.14+dfsg-1.3~deb12u1_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3~deb12u1) ... Selecting previously unselected package gettext. Preparing to unpack .../034-gettext_0.21-12_amd64.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../035-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../036-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../037-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package dh-buildinfo. Preparing to unpack .../038-dh-buildinfo_0.11+nmu2_all.deb ... Unpacking dh-buildinfo (0.11+nmu2) ... Selecting previously unselected package ghc-prof. Preparing to unpack .../039-ghc-prof_9.0.2-4_amd64.deb ... Unpacking ghc-prof (9.0.2-4) ... Selecting previously unselected package libbrotli1:amd64. Preparing to unpack .../040-libbrotli1_1.0.9-2+b6_amd64.deb ... Unpacking libbrotli1:amd64 (1.0.9-2+b6) ... Selecting previously unselected package libsasl2-modules-db:amd64. Preparing to unpack .../041-libsasl2-modules-db_2.1.28+dfsg-10_amd64.deb ... Unpacking libsasl2-modules-db:amd64 (2.1.28+dfsg-10) ... Selecting previously unselected package libsasl2-2:amd64. Preparing to unpack .../042-libsasl2-2_2.1.28+dfsg-10_amd64.deb ... Unpacking libsasl2-2:amd64 (2.1.28+dfsg-10) ... Selecting previously unselected package libldap-2.5-0:amd64. Preparing to unpack .../043-libldap-2.5-0_2.5.13+dfsg-5_amd64.deb ... Unpacking libldap-2.5-0:amd64 (2.5.13+dfsg-5) ... Selecting previously unselected package libnghttp2-14:amd64. Preparing to unpack .../044-libnghttp2-14_1.52.0-1+deb12u1_amd64.deb ... Unpacking libnghttp2-14:amd64 (1.52.0-1+deb12u1) ... Selecting previously unselected package libpsl5:amd64. Preparing to unpack .../045-libpsl5_0.21.2-1_amd64.deb ... Unpacking libpsl5:amd64 (0.21.2-1) ... Selecting previously unselected package librtmp1:amd64. Preparing to unpack .../046-librtmp1_2.4+20151223.gitfa8646d.1-2+b2_amd64.deb ... Unpacking librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b2) ... Selecting previously unselected package libssh2-1:amd64. Preparing to unpack .../047-libssh2-1_1.10.0-3+b1_amd64.deb ... Unpacking libssh2-1:amd64 (1.10.0-3+b1) ... Selecting previously unselected package libcurl3-gnutls:amd64. Preparing to unpack .../048-libcurl3-gnutls_7.88.1-10+deb12u4_amd64.deb ... Unpacking libcurl3-gnutls:amd64 (7.88.1-10+deb12u4) ... Selecting previously unselected package html-xml-utils. Preparing to unpack .../049-html-xml-utils_7.7-1.1_amd64.deb ... Unpacking html-xml-utils (7.7-1.1) ... Selecting previously unselected package libparams-util-perl. Preparing to unpack .../050-libparams-util-perl_1.102-2+b1_amd64.deb ... Unpacking libparams-util-perl (1.102-2+b1) ... Selecting previously unselected package libsub-install-perl. Preparing to unpack .../051-libsub-install-perl_0.929-1_all.deb ... Unpacking libsub-install-perl (0.929-1) ... Selecting previously unselected package libdata-optlist-perl. Preparing to unpack .../052-libdata-optlist-perl_0.113-1_all.deb ... Unpacking libdata-optlist-perl (0.113-1) ... Selecting previously unselected package libsub-exporter-perl. Preparing to unpack .../053-libsub-exporter-perl_0.989-1_all.deb ... Unpacking libsub-exporter-perl (0.989-1) ... Selecting previously unselected package libsub-exporter-progressive-perl. Preparing to unpack .../054-libsub-exporter-progressive-perl_0.001013-3_all.deb ... Unpacking libsub-exporter-progressive-perl (0.001013-3) ... Selecting previously unselected package libconst-fast-perl. Preparing to unpack .../055-libconst-fast-perl_0.014-2_all.deb ... Unpacking libconst-fast-perl (0.014-2) ... Selecting previously unselected package libdevel-confess-perl. Preparing to unpack .../056-libdevel-confess-perl_0.009004-3_all.deb ... Unpacking libdevel-confess-perl (0.009004-3) ... Selecting previously unselected package libipc-run3-perl. Preparing to unpack .../057-libipc-run3-perl_0.048-3_all.deb ... Unpacking libipc-run3-perl (0.048-3) ... Selecting previously unselected package libb-hooks-op-check-perl:amd64. Preparing to unpack .../058-libb-hooks-op-check-perl_0.22-2+b1_amd64.deb ... Unpacking libb-hooks-op-check-perl:amd64 (0.22-2+b1) ... Selecting previously unselected package libdynaloader-functions-perl. Preparing to unpack .../059-libdynaloader-functions-perl_0.003-3_all.deb ... Unpacking libdynaloader-functions-perl (0.003-3) ... Selecting previously unselected package libdevel-callchecker-perl:amd64. Preparing to unpack .../060-libdevel-callchecker-perl_0.008-2_amd64.deb ... Unpacking libdevel-callchecker-perl:amd64 (0.008-2) ... Selecting previously unselected package libparams-classify-perl:amd64. Preparing to unpack .../061-libparams-classify-perl_0.015-2+b1_amd64.deb ... Unpacking libparams-classify-perl:amd64 (0.015-2+b1) ... Selecting previously unselected package libmodule-runtime-perl. Preparing to unpack .../062-libmodule-runtime-perl_0.016-2_all.deb ... Unpacking libmodule-runtime-perl (0.016-2) ... Selecting previously unselected package libtry-tiny-perl. Preparing to unpack .../063-libtry-tiny-perl_0.31-2_all.deb ... Unpacking libtry-tiny-perl (0.31-2) ... Selecting previously unselected package libmodule-implementation-perl. Preparing to unpack .../064-libmodule-implementation-perl_0.09-2_all.deb ... Unpacking libmodule-implementation-perl (0.09-2) ... Selecting previously unselected package liblist-someutils-perl. Preparing to unpack .../065-liblist-someutils-perl_0.59-1_all.deb ... Unpacking liblist-someutils-perl (0.59-1) ... Selecting previously unselected package libpath-tiny-perl. Preparing to unpack .../066-libpath-tiny-perl_0.144-1_all.deb ... Unpacking libpath-tiny-perl (0.144-1) ... Selecting previously unselected package libtimedate-perl. Preparing to unpack .../067-libtimedate-perl_2.3300-2_all.deb ... Unpacking libtimedate-perl (2.3300-2) ... Selecting previously unselected package libunicode-utf8-perl. Preparing to unpack .../068-libunicode-utf8-perl_0.62-2_amd64.deb ... Unpacking libunicode-utf8-perl (0.62-2) ... Selecting previously unselected package haskell-devscripts-minimal. Preparing to unpack .../069-haskell-devscripts-minimal_0.16.29_all.deb ... Unpacking haskell-devscripts-minimal (0.16.29) ... Selecting previously unselected package libghc-base-orphans-dev. Preparing to unpack .../070-libghc-base-orphans-dev_0.8.7-1_amd64.deb ... Unpacking libghc-base-orphans-dev (0.8.7-1) ... Selecting previously unselected package libghc-tagged-dev. Preparing to unpack .../071-libghc-tagged-dev_0.8.6.1-1+b3_amd64.deb ... Unpacking libghc-tagged-dev (0.8.6.1-1+b3) ... Selecting previously unselected package libghc-distributive-dev. Preparing to unpack .../072-libghc-distributive-dev_0.6.2.1-1+b4_amd64.deb ... Unpacking libghc-distributive-dev (0.6.2.1-1+b4) ... Selecting previously unselected package libghc-indexed-traversable-dev. Preparing to unpack .../073-libghc-indexed-traversable-dev_0.1.2-2+b1_amd64.deb ... Unpacking libghc-indexed-traversable-dev (0.1.2-2+b1) ... Selecting previously unselected package libghc-transformers-compat-dev. Preparing to unpack .../074-libghc-transformers-compat-dev_0.6.6-1+b3_amd64.deb ... Unpacking libghc-transformers-compat-dev (0.6.6-1+b3) ... Selecting previously unselected package libghc-comonad-dev. Preparing to unpack .../075-libghc-comonad-dev_5.0.8-1+b2_amd64.deb ... Unpacking libghc-comonad-dev (5.0.8-1+b2) ... Selecting previously unselected package libghc-statevar-dev. Preparing to unpack .../076-libghc-statevar-dev_1.2.2-1+b3_amd64.deb ... Unpacking libghc-statevar-dev (1.2.2-1+b3) ... Selecting previously unselected package libghc-contravariant-dev. Preparing to unpack .../077-libghc-contravariant-dev_1.5.5-1+b3_amd64.deb ... Unpacking libghc-contravariant-dev (1.5.5-1+b3) ... Selecting previously unselected package libghc-th-abstraction-dev. Preparing to unpack .../078-libghc-th-abstraction-dev_0.4.5.0-1_amd64.deb ... Unpacking libghc-th-abstraction-dev (0.4.5.0-1) ... Selecting previously unselected package libghc-bifunctors-dev. Preparing to unpack .../079-libghc-bifunctors-dev_5.5.13-1_amd64.deb ... Unpacking libghc-bifunctors-dev (5.5.13-1) ... Selecting previously unselected package libghc-profunctors-dev. Preparing to unpack .../080-libghc-profunctors-dev_5.6.2-1+b3_amd64.deb ... Unpacking libghc-profunctors-dev (5.6.2-1+b3) ... Selecting previously unselected package libghc-hashable-dev. Preparing to unpack .../081-libghc-hashable-dev_1.3.5.0-2+b3_amd64.deb ... Unpacking libghc-hashable-dev (1.3.5.0-2+b3) ... Selecting previously unselected package libghc-unordered-containers-dev. Preparing to unpack .../082-libghc-unordered-containers-dev_0.2.17.0-2+b2_amd64.deb ... Unpacking libghc-unordered-containers-dev (0.2.17.0-2+b2) ... Selecting previously unselected package libghc-semigroupoids-dev. Preparing to unpack .../083-libghc-semigroupoids-dev_5.3.7-1+b3_amd64.deb ... Unpacking libghc-semigroupoids-dev (5.3.7-1+b3) ... Selecting previously unselected package libghc-transformers-base-dev. Preparing to unpack .../084-libghc-transformers-base-dev_0.4.6-1+b4_amd64.deb ... Unpacking libghc-transformers-base-dev (0.4.6-1+b4) ... Selecting previously unselected package libghc-free-dev. Preparing to unpack .../085-libghc-free-dev_5.1.9-1+b4_amd64.deb ... Unpacking libghc-free-dev (5.1.9-1+b4) ... Selecting previously unselected package libghc-semigroups-dev. Preparing to unpack .../086-libghc-semigroups-dev_0.19.2-1+b1_amd64.deb ... Unpacking libghc-semigroups-dev (0.19.2-1+b1) ... Selecting previously unselected package libghc-void-dev. Preparing to unpack .../087-libghc-void-dev_0.7.3-3+b2_amd64.deb ... Unpacking libghc-void-dev (0.7.3-3+b2) ... Selecting previously unselected package libghc-adjunctions-dev. Preparing to unpack .../088-libghc-adjunctions-dev_4.4.2-1+b2_amd64.deb ... Unpacking libghc-adjunctions-dev (4.4.2-1+b2) ... Selecting previously unselected package libghc-base-orphans-prof. Preparing to unpack .../089-libghc-base-orphans-prof_0.8.7-1_amd64.deb ... Unpacking libghc-base-orphans-prof (0.8.7-1) ... Selecting previously unselected package libghc-tagged-prof. Preparing to unpack .../090-libghc-tagged-prof_0.8.6.1-1+b3_amd64.deb ... Unpacking libghc-tagged-prof (0.8.6.1-1+b3) ... Selecting previously unselected package libghc-distributive-prof. Preparing to unpack .../091-libghc-distributive-prof_0.6.2.1-1+b4_amd64.deb ... Unpacking libghc-distributive-prof (0.6.2.1-1+b4) ... Selecting previously unselected package libghc-indexed-traversable-prof. Preparing to unpack .../092-libghc-indexed-traversable-prof_0.1.2-2+b1_amd64.deb ... Unpacking libghc-indexed-traversable-prof (0.1.2-2+b1) ... Selecting previously unselected package libghc-transformers-compat-prof. Preparing to unpack .../093-libghc-transformers-compat-prof_0.6.6-1+b3_amd64.deb ... Unpacking libghc-transformers-compat-prof (0.6.6-1+b3) ... Selecting previously unselected package libghc-comonad-prof. Preparing to unpack .../094-libghc-comonad-prof_5.0.8-1+b2_amd64.deb ... Unpacking libghc-comonad-prof (5.0.8-1+b2) ... Selecting previously unselected package libghc-statevar-prof. Preparing to unpack .../095-libghc-statevar-prof_1.2.2-1+b3_amd64.deb ... Unpacking libghc-statevar-prof (1.2.2-1+b3) ... Selecting previously unselected package libghc-contravariant-prof. Preparing to unpack .../096-libghc-contravariant-prof_1.5.5-1+b3_amd64.deb ... Unpacking libghc-contravariant-prof (1.5.5-1+b3) ... Selecting previously unselected package libghc-th-abstraction-prof. Preparing to unpack .../097-libghc-th-abstraction-prof_0.4.5.0-1_amd64.deb ... Unpacking libghc-th-abstraction-prof (0.4.5.0-1) ... Selecting previously unselected package libghc-bifunctors-prof. Preparing to unpack .../098-libghc-bifunctors-prof_5.5.13-1_amd64.deb ... Unpacking libghc-bifunctors-prof (5.5.13-1) ... Selecting previously unselected package libghc-profunctors-prof. Preparing to unpack .../099-libghc-profunctors-prof_5.6.2-1+b3_amd64.deb ... Unpacking libghc-profunctors-prof (5.6.2-1+b3) ... Selecting previously unselected package libghc-hashable-prof. Preparing to unpack .../100-libghc-hashable-prof_1.3.5.0-2+b3_amd64.deb ... Unpacking libghc-hashable-prof (1.3.5.0-2+b3) ... Selecting previously unselected package libghc-unordered-containers-prof. Preparing to unpack .../101-libghc-unordered-containers-prof_0.2.17.0-2+b2_amd64.deb ... Unpacking libghc-unordered-containers-prof (0.2.17.0-2+b2) ... Selecting previously unselected package libghc-semigroupoids-prof. Preparing to unpack .../102-libghc-semigroupoids-prof_5.3.7-1+b3_amd64.deb ... Unpacking libghc-semigroupoids-prof (5.3.7-1+b3) ... Selecting previously unselected package libghc-transformers-base-prof. Preparing to unpack .../103-libghc-transformers-base-prof_0.4.6-1+b4_amd64.deb ... Unpacking libghc-transformers-base-prof (0.4.6-1+b4) ... Selecting previously unselected package libghc-free-prof. Preparing to unpack .../104-libghc-free-prof_5.1.9-1+b4_amd64.deb ... Unpacking libghc-free-prof (5.1.9-1+b4) ... Selecting previously unselected package libghc-semigroups-prof. Preparing to unpack .../105-libghc-semigroups-prof_0.19.2-1+b1_amd64.deb ... Unpacking libghc-semigroups-prof (0.19.2-1+b1) ... Selecting previously unselected package libghc-void-prof. Preparing to unpack .../106-libghc-void-prof_0.7.3-3+b2_amd64.deb ... Unpacking libghc-void-prof (0.7.3-3+b2) ... Selecting previously unselected package libghc-adjunctions-prof. Preparing to unpack .../107-libghc-adjunctions-prof_4.4.2-1+b2_amd64.deb ... Unpacking libghc-adjunctions-prof (4.4.2-1+b2) ... Selecting previously unselected package libghc-integer-logarithms-dev. Preparing to unpack .../108-libghc-integer-logarithms-dev_1.0.3.1-2+b1_amd64.deb ... Unpacking libghc-integer-logarithms-dev (1.0.3.1-2+b1) ... Selecting previously unselected package libghc-primitive-dev. Preparing to unpack .../109-libghc-primitive-dev_0.7.3.0-1+b3_amd64.deb ... Unpacking libghc-primitive-dev (0.7.3.0-1+b3) ... Selecting previously unselected package libghc-scientific-dev. Preparing to unpack .../110-libghc-scientific-dev_0.3.7.0-1+b2_amd64.deb ... Unpacking libghc-scientific-dev (0.3.7.0-1+b2) ... Selecting previously unselected package libghc-attoparsec-dev. Preparing to unpack .../111-libghc-attoparsec-dev_0.14.4-2+b1_amd64.deb ... Unpacking libghc-attoparsec-dev (0.14.4-2+b1) ... Selecting previously unselected package libghc-base-compat-dev. Preparing to unpack .../112-libghc-base-compat-dev_0.11.2-1+b3_amd64.deb ... Unpacking libghc-base-compat-dev (0.11.2-1+b3) ... Selecting previously unselected package libghc-base-compat-batteries-dev. Preparing to unpack .../113-libghc-base-compat-batteries-dev_0.11.2-1+b3_amd64.deb ... Unpacking libghc-base-compat-batteries-dev (0.11.2-1+b3) ... Selecting previously unselected package libghc-data-fix-dev. Preparing to unpack .../114-libghc-data-fix-dev_0.3.2-1+b4_amd64.deb ... Unpacking libghc-data-fix-dev (0.3.2-1+b4) ... Selecting previously unselected package libghc-dlist-dev. Preparing to unpack .../115-libghc-dlist-dev_1.0-2+b4_amd64.deb ... Unpacking libghc-dlist-dev (1.0-2+b4) ... Selecting previously unselected package libghc-onetuple-dev. Preparing to unpack .../116-libghc-onetuple-dev_0.3.1-1+b3_amd64.deb ... Unpacking libghc-onetuple-dev (0.3.1-1+b3) ... Selecting previously unselected package libghc-splitmix-dev. Preparing to unpack .../117-libghc-splitmix-dev_0.1.0.4-1+b3_amd64.deb ... Unpacking libghc-splitmix-dev (0.1.0.4-1+b3) ... Selecting previously unselected package libghc-random-dev. Preparing to unpack .../118-libghc-random-dev_1.2.1.1-2+b3_amd64.deb ... Unpacking libghc-random-dev (1.2.1.1-2+b3) ... Selecting previously unselected package libghc-quickcheck2-dev. Preparing to unpack .../119-libghc-quickcheck2-dev_2.14.2-1+b3_amd64.deb ... Unpacking libghc-quickcheck2-dev (2.14.2-1+b3) ... Selecting previously unselected package libghc-vector-dev. Preparing to unpack .../120-libghc-vector-dev_0.12.3.1-1+b2_amd64.deb ... Unpacking libghc-vector-dev (0.12.3.1-1+b2) ... Selecting previously unselected package libghc-indexed-traversable-instances-dev. Preparing to unpack .../121-libghc-indexed-traversable-instances-dev_0.1.1.1-1_amd64.deb ... Unpacking libghc-indexed-traversable-instances-dev (0.1.1.1-1) ... Selecting previously unselected package libghc-assoc-dev. Preparing to unpack .../122-libghc-assoc-dev_1.0.2-3+b3_amd64.deb ... Unpacking libghc-assoc-dev (1.0.2-3+b3) ... Selecting previously unselected package libghc-these-dev. Preparing to unpack .../123-libghc-these-dev_1.1.1.1-2+b3_amd64.deb ... Unpacking libghc-these-dev (1.1.1.1-2+b3) ... Selecting previously unselected package libghc-semialign-dev. Preparing to unpack .../124-libghc-semialign-dev_1.2.0.1-1+b4_amd64.deb ... Unpacking libghc-semialign-dev (1.2.0.1-1+b4) ... Selecting previously unselected package libghc-strict-dev. Preparing to unpack .../125-libghc-strict-dev_0.4.0.1-1+b3_amd64.deb ... Unpacking libghc-strict-dev (0.4.0.1-1+b3) ... Selecting previously unselected package libghc-text-short-dev. Preparing to unpack .../126-libghc-text-short-dev_0.1.5-1+b1_amd64.deb ... Unpacking libghc-text-short-dev (0.1.5-1+b1) ... Selecting previously unselected package libghc-time-compat-dev. Preparing to unpack .../127-libghc-time-compat-dev_1.9.6.1-1+b3_amd64.deb ... Unpacking libghc-time-compat-dev (1.9.6.1-1+b3) ... Selecting previously unselected package libghc-uuid-types-dev. Preparing to unpack .../128-libghc-uuid-types-dev_1.0.5-2+b1_amd64.deb ... Unpacking libghc-uuid-types-dev (1.0.5-2+b1) ... Selecting previously unselected package libghc-witherable-dev. Preparing to unpack .../129-libghc-witherable-dev_0.4.2-2+b3_amd64.deb ... Unpacking libghc-witherable-dev (0.4.2-2+b3) ... Selecting previously unselected package libghc-aeson-dev. Preparing to unpack .../130-libghc-aeson-dev_2.0.3.0-1+b5_amd64.deb ... Unpacking libghc-aeson-dev (2.0.3.0-1+b5) ... Selecting previously unselected package libghc-aeson-doc. Preparing to unpack .../131-libghc-aeson-doc_2.0.3.0-1_all.deb ... Unpacking libghc-aeson-doc (2.0.3.0-1) ... Selecting previously unselected package libghc-aeson-pretty-dev. Preparing to unpack .../132-libghc-aeson-pretty-dev_0.8.9-1+b4_amd64.deb ... Unpacking libghc-aeson-pretty-dev (0.8.9-1+b4) ... Selecting previously unselected package libghc-aeson-pretty-doc. Preparing to unpack .../133-libghc-aeson-pretty-doc_0.8.9-1_all.deb ... Unpacking libghc-aeson-pretty-doc (0.8.9-1) ... Selecting previously unselected package libghc-integer-logarithms-prof. Preparing to unpack .../134-libghc-integer-logarithms-prof_1.0.3.1-2+b1_amd64.deb ... Unpacking libghc-integer-logarithms-prof (1.0.3.1-2+b1) ... Selecting previously unselected package libghc-primitive-prof. Preparing to unpack .../135-libghc-primitive-prof_0.7.3.0-1+b3_amd64.deb ... Unpacking libghc-primitive-prof (0.7.3.0-1+b3) ... Selecting previously unselected package libghc-scientific-prof. Preparing to unpack .../136-libghc-scientific-prof_0.3.7.0-1+b2_amd64.deb ... Unpacking libghc-scientific-prof (0.3.7.0-1+b2) ... Selecting previously unselected package libghc-attoparsec-prof. Preparing to unpack .../137-libghc-attoparsec-prof_0.14.4-2+b1_amd64.deb ... Unpacking libghc-attoparsec-prof (0.14.4-2+b1) ... Selecting previously unselected package libghc-base-compat-prof. Preparing to unpack .../138-libghc-base-compat-prof_0.11.2-1+b3_amd64.deb ... Unpacking libghc-base-compat-prof (0.11.2-1+b3) ... Selecting previously unselected package libghc-base-compat-batteries-prof. Preparing to unpack .../139-libghc-base-compat-batteries-prof_0.11.2-1+b3_amd64.deb ... Unpacking libghc-base-compat-batteries-prof (0.11.2-1+b3) ... Selecting previously unselected package libghc-data-fix-prof. Preparing to unpack .../140-libghc-data-fix-prof_0.3.2-1+b4_amd64.deb ... Unpacking libghc-data-fix-prof (0.3.2-1+b4) ... Selecting previously unselected package libghc-dlist-prof. Preparing to unpack .../141-libghc-dlist-prof_1.0-2+b4_amd64.deb ... Unpacking libghc-dlist-prof (1.0-2+b4) ... Selecting previously unselected package libghc-onetuple-prof. Preparing to unpack .../142-libghc-onetuple-prof_0.3.1-1+b3_amd64.deb ... Unpacking libghc-onetuple-prof (0.3.1-1+b3) ... Selecting previously unselected package libghc-splitmix-prof. Preparing to unpack .../143-libghc-splitmix-prof_0.1.0.4-1+b3_amd64.deb ... Unpacking libghc-splitmix-prof (0.1.0.4-1+b3) ... Selecting previously unselected package libghc-random-prof. Preparing to unpack .../144-libghc-random-prof_1.2.1.1-2+b3_amd64.deb ... Unpacking libghc-random-prof (1.2.1.1-2+b3) ... Selecting previously unselected package libghc-quickcheck2-prof. Preparing to unpack .../145-libghc-quickcheck2-prof_2.14.2-1+b3_amd64.deb ... Unpacking libghc-quickcheck2-prof (2.14.2-1+b3) ... Selecting previously unselected package libghc-vector-prof. Preparing to unpack .../146-libghc-vector-prof_0.12.3.1-1+b2_amd64.deb ... Unpacking libghc-vector-prof (0.12.3.1-1+b2) ... Selecting previously unselected package libghc-indexed-traversable-instances-prof. Preparing to unpack .../147-libghc-indexed-traversable-instances-prof_0.1.1.1-1_amd64.deb ... Unpacking libghc-indexed-traversable-instances-prof (0.1.1.1-1) ... Selecting previously unselected package libghc-assoc-prof. Preparing to unpack .../148-libghc-assoc-prof_1.0.2-3+b3_amd64.deb ... Unpacking libghc-assoc-prof (1.0.2-3+b3) ... Selecting previously unselected package libghc-these-prof. Preparing to unpack .../149-libghc-these-prof_1.1.1.1-2+b3_amd64.deb ... Unpacking libghc-these-prof (1.1.1.1-2+b3) ... Selecting previously unselected package libghc-semialign-prof. Preparing to unpack .../150-libghc-semialign-prof_1.2.0.1-1+b4_amd64.deb ... Unpacking libghc-semialign-prof (1.2.0.1-1+b4) ... Selecting previously unselected package libghc-strict-prof. Preparing to unpack .../151-libghc-strict-prof_0.4.0.1-1+b3_amd64.deb ... Unpacking libghc-strict-prof (0.4.0.1-1+b3) ... Selecting previously unselected package libghc-text-short-prof. Preparing to unpack .../152-libghc-text-short-prof_0.1.5-1+b1_amd64.deb ... Unpacking libghc-text-short-prof (0.1.5-1+b1) ... Selecting previously unselected package libghc-time-compat-prof. Preparing to unpack .../153-libghc-time-compat-prof_1.9.6.1-1+b3_amd64.deb ... Unpacking libghc-time-compat-prof (1.9.6.1-1+b3) ... Selecting previously unselected package libghc-uuid-types-prof. Preparing to unpack .../154-libghc-uuid-types-prof_1.0.5-2+b1_amd64.deb ... Unpacking libghc-uuid-types-prof (1.0.5-2+b1) ... Selecting previously unselected package libghc-witherable-prof. Preparing to unpack .../155-libghc-witherable-prof_0.4.2-2+b3_amd64.deb ... Unpacking libghc-witherable-prof (0.4.2-2+b3) ... Selecting previously unselected package libghc-aeson-prof. Preparing to unpack .../156-libghc-aeson-prof_2.0.3.0-1+b5_amd64.deb ... Unpacking libghc-aeson-prof (2.0.3.0-1+b5) ... Selecting previously unselected package libghc-aeson-pretty-prof. Preparing to unpack .../157-libghc-aeson-pretty-prof_0.8.9-1+b4_amd64.deb ... Unpacking libghc-aeson-pretty-prof (0.8.9-1+b4) ... Selecting previously unselected package libghc-colour-dev. Preparing to unpack .../158-libghc-colour-dev_2.3.6-1+b3_amd64.deb ... Unpacking libghc-colour-dev (2.3.6-1+b3) ... Selecting previously unselected package libghc-ansi-terminal-dev. Preparing to unpack .../159-libghc-ansi-terminal-dev_0.11.3-1+b3_amd64.deb ... Unpacking libghc-ansi-terminal-dev (0.11.3-1+b3) ... Selecting previously unselected package libghc-ansi-terminal-doc. Preparing to unpack .../160-libghc-ansi-terminal-doc_0.11.3-1_all.deb ... Unpacking libghc-ansi-terminal-doc (0.11.3-1) ... Selecting previously unselected package libghc-colour-prof. Preparing to unpack .../161-libghc-colour-prof_2.3.6-1+b3_amd64.deb ... Unpacking libghc-colour-prof (2.3.6-1+b3) ... Selecting previously unselected package libghc-ansi-terminal-prof. Preparing to unpack .../162-libghc-ansi-terminal-prof_0.11.3-1+b3_amd64.deb ... Unpacking libghc-ansi-terminal-prof (0.11.3-1+b3) ... Selecting previously unselected package libghc-ansi-wl-pprint-dev. Preparing to unpack .../163-libghc-ansi-wl-pprint-dev_0.6.9-5+b3_amd64.deb ... Unpacking libghc-ansi-wl-pprint-dev (0.6.9-5+b3) ... Selecting previously unselected package libghc-ansi-wl-pprint-prof. Preparing to unpack .../164-libghc-ansi-wl-pprint-prof_0.6.9-5+b3_amd64.deb ... Unpacking libghc-ansi-wl-pprint-prof (0.6.9-5+b3) ... Selecting previously unselected package libghc-lazysmallcheck-dev. Preparing to unpack .../165-libghc-lazysmallcheck-dev_0.6-13+b3_amd64.deb ... Unpacking libghc-lazysmallcheck-dev (0.6-13+b3) ... Selecting previously unselected package libghc-stream-dev. Preparing to unpack .../166-libghc-stream-dev_0.4.7.2-8+b2_amd64.deb ... Unpacking libghc-stream-dev (0.4.7.2-8+b2) ... Selecting previously unselected package libghc-arrows-dev. Preparing to unpack .../167-libghc-arrows-dev_0.4.4.2-4+b1_amd64.deb ... Unpacking libghc-arrows-dev (0.4.4.2-4+b1) ... Selecting previously unselected package libghc-lazysmallcheck-prof. Preparing to unpack .../168-libghc-lazysmallcheck-prof_0.6-13+b3_amd64.deb ... Unpacking libghc-lazysmallcheck-prof (0.6-13+b3) ... Selecting previously unselected package libghc-stream-prof. Preparing to unpack .../169-libghc-stream-prof_0.4.7.2-8+b2_amd64.deb ... Unpacking libghc-stream-prof (0.4.7.2-8+b2) ... Selecting previously unselected package libghc-arrows-prof. Preparing to unpack .../170-libghc-arrows-prof_0.4.4.2-4+b1_amd64.deb ... Unpacking libghc-arrows-prof (0.4.4.2-4+b1) ... Selecting previously unselected package libghc-async-dev. Preparing to unpack .../171-libghc-async-dev_2.2.4-1+b2_amd64.deb ... Unpacking libghc-async-dev (2.2.4-1+b2) ... Selecting previously unselected package libghc-async-doc. Preparing to unpack .../172-libghc-async-doc_2.2.4-1_all.deb ... Unpacking libghc-async-doc (2.2.4-1) ... Selecting previously unselected package libghc-async-prof. Preparing to unpack .../173-libghc-async-prof_2.2.4-1+b2_amd64.deb ... Unpacking libghc-async-prof (2.2.4-1+b2) ... Selecting previously unselected package libghc-attoparsec-doc. Preparing to unpack .../174-libghc-attoparsec-doc_0.14.4-2_all.deb ... Unpacking libghc-attoparsec-doc (0.14.4-2) ... Selecting previously unselected package libghc-base16-bytestring-dev. Preparing to unpack .../175-libghc-base16-bytestring-dev_1.0.2.0-1+b3_amd64.deb ... Unpacking libghc-base16-bytestring-dev (1.0.2.0-1+b3) ... Selecting previously unselected package libghc-base16-bytestring-doc. Preparing to unpack .../176-libghc-base16-bytestring-doc_1.0.2.0-1_all.deb ... Unpacking libghc-base16-bytestring-doc (1.0.2.0-1) ... Selecting previously unselected package libghc-base16-bytestring-prof. Preparing to unpack .../177-libghc-base16-bytestring-prof_1.0.2.0-1+b3_amd64.deb ... Unpacking libghc-base16-bytestring-prof (1.0.2.0-1+b3) ... Selecting previously unselected package libghc-blaze-builder-dev. Preparing to unpack .../178-libghc-blaze-builder-dev_0.4.2.2-1+b3_amd64.deb ... Unpacking libghc-blaze-builder-dev (0.4.2.2-1+b3) ... Selecting previously unselected package libghc-blaze-builder-prof. Preparing to unpack .../179-libghc-blaze-builder-prof_0.4.2.2-1+b3_amd64.deb ... Unpacking libghc-blaze-builder-prof (0.4.2.2-1+b3) ... Selecting previously unselected package libghc-blaze-markup-dev. Preparing to unpack .../180-libghc-blaze-markup-dev_0.8.2.8-1+b2_amd64.deb ... Unpacking libghc-blaze-markup-dev (0.8.2.8-1+b2) ... Selecting previously unselected package libghc-blaze-html-dev. Preparing to unpack .../181-libghc-blaze-html-dev_0.9.1.2-2+b1_amd64.deb ... Unpacking libghc-blaze-html-dev (0.9.1.2-2+b1) ... Selecting previously unselected package libghc-blaze-markup-prof. Preparing to unpack .../182-libghc-blaze-markup-prof_0.8.2.8-1+b2_amd64.deb ... Unpacking libghc-blaze-markup-prof (0.8.2.8-1+b2) ... Selecting previously unselected package libghc-blaze-html-prof. Preparing to unpack .../183-libghc-blaze-html-prof_0.9.1.2-2+b1_amd64.deb ... Unpacking libghc-blaze-html-prof (0.9.1.2-2+b1) ... Selecting previously unselected package libghc-call-stack-dev. Preparing to unpack .../184-libghc-call-stack-dev_0.4.0-1+b3_amd64.deb ... Unpacking libghc-call-stack-dev (0.4.0-1+b3) ... Selecting previously unselected package libghc-call-stack-prof. Preparing to unpack .../185-libghc-call-stack-prof_0.4.0-1+b3_amd64.deb ... Unpacking libghc-call-stack-prof (0.4.0-1+b3) ... Selecting previously unselected package libghc-charset-dev. Preparing to unpack .../186-libghc-charset-dev_0.3.9-1+b1_amd64.deb ... Unpacking libghc-charset-dev (0.3.9-1+b1) ... Selecting previously unselected package libghc-charset-prof. Preparing to unpack .../187-libghc-charset-prof_0.3.9-1+b1_amd64.deb ... Unpacking libghc-charset-prof (0.3.9-1+b1) ... Selecting previously unselected package libghc-type-equality-dev. Preparing to unpack .../188-libghc-type-equality-dev_1-3+b3_amd64.deb ... Unpacking libghc-type-equality-dev (1-3+b3) ... Selecting previously unselected package libghc-constraints-dev. Preparing to unpack .../189-libghc-constraints-dev_0.13.4-1+b3_amd64.deb ... Unpacking libghc-constraints-dev (0.13.4-1+b3) ... Selecting previously unselected package libghc-data-binary-ieee754-dev. Preparing to unpack .../190-libghc-data-binary-ieee754-dev_0.4.4-11+b4_amd64.deb ... Unpacking libghc-data-binary-ieee754-dev (0.4.4-11+b4) ... Selecting previously unselected package libghc-data-default-class-dev. Preparing to unpack .../191-libghc-data-default-class-dev_0.1.2.0-6+b4_amd64.deb ... Unpacking libghc-data-default-class-dev (0.1.2.0-6+b4) ... Selecting previously unselected package libghc-clock-dev. Preparing to unpack .../192-libghc-clock-dev_0.8.3-1+b3_amd64.deb ... Unpacking libghc-clock-dev (0.8.3-1+b3) ... Selecting previously unselected package libghc-extra-dev. Preparing to unpack .../193-libghc-extra-dev_1.7.12-1_amd64.deb ... Unpacking libghc-extra-dev (1.7.12-1) ... Selecting previously unselected package libghc-ghc-tcplugins-extra-dev. Preparing to unpack .../194-libghc-ghc-tcplugins-extra-dev_0.4.3-1_amd64.deb ... Unpacking libghc-ghc-tcplugins-extra-dev (0.4.3-1) ... Selecting previously unselected package libghc-ghc-typelits-natnormalise-dev. Preparing to unpack .../195-libghc-ghc-typelits-natnormalise-dev_0.7.7-1_amd64.deb ... Unpacking libghc-ghc-typelits-natnormalise-dev (0.7.7-1) ... Selecting previously unselected package libghc-ghc-typelits-knownnat-dev. Preparing to unpack .../196-libghc-ghc-typelits-knownnat-dev_0.7.7-1_amd64.deb ... Unpacking libghc-ghc-typelits-knownnat-dev (0.7.7-1) ... Selecting previously unselected package libghc-ghc-typelits-extra-dev. Preparing to unpack .../197-libghc-ghc-typelits-extra-dev_0.4.3-2+b5_amd64.deb ... Unpacking libghc-ghc-typelits-extra-dev (0.4.3-2+b5) ... Selecting previously unselected package libghc-half-dev. Preparing to unpack .../198-libghc-half-dev_0.3.1-1+b3_amd64.deb ... Unpacking libghc-half-dev (0.3.1-1+b3) ... Selecting previously unselected package libghc-src-exts-dev. Preparing to unpack .../199-libghc-src-exts-dev_1.23.1-3+b3_amd64.deb ... Unpacking libghc-src-exts-dev (1.23.1-3+b3) ... Selecting previously unselected package libghc-syb-dev. Preparing to unpack .../200-libghc-syb-dev_0.7.2.2-1_amd64.deb ... Unpacking libghc-syb-dev (0.7.2.2-1) ... Selecting previously unselected package libghc-th-compat-dev. Preparing to unpack .../201-libghc-th-compat-dev_0.1.4-1_amd64.deb ... Unpacking libghc-th-compat-dev (0.1.4-1) ... Selecting previously unselected package libghc-th-lift-dev. Preparing to unpack .../202-libghc-th-lift-dev_0.8.2-1+b4_amd64.deb ... Unpacking libghc-th-lift-dev (0.8.2-1+b4) ... Selecting previously unselected package libghc-safe-dev. Preparing to unpack .../203-libghc-safe-dev_0.3.19-2+b2_amd64.deb ... Unpacking libghc-safe-dev (0.3.19-2+b2) ... Selecting previously unselected package libghc-th-expand-syns-dev. Preparing to unpack .../204-libghc-th-expand-syns-dev_0.4.10.0-1_amd64.deb ... Unpacking libghc-th-expand-syns-dev (0.4.10.0-1) ... Selecting previously unselected package libghc-th-reify-many-dev. Preparing to unpack .../205-libghc-th-reify-many-dev_0.1.10-1+b4_amd64.deb ... Unpacking libghc-th-reify-many-dev (0.1.10-1+b4) ... Selecting previously unselected package libghc-th-orphans-dev. Preparing to unpack .../206-libghc-th-orphans-dev_0.13.14-1+b2_amd64.deb ... Unpacking libghc-th-orphans-dev (0.13.14-1+b2) ... Selecting previously unselected package libghc-src-meta-dev. Preparing to unpack .../207-libghc-src-meta-dev_0.8.11-1_amd64.deb ... Unpacking libghc-src-meta-dev (0.8.11-1) ... Selecting previously unselected package libghc-interpolate-dev. Preparing to unpack .../208-libghc-interpolate-dev_0.2.1-2+b4_amd64.deb ... Unpacking libghc-interpolate-dev (0.2.1-2+b4) ... Selecting previously unselected package libghc-invariant-dev. Preparing to unpack .../209-libghc-invariant-dev_0.5.6-1+b3_amd64.deb ... Unpacking libghc-invariant-dev (0.5.6-1+b3) ... Selecting previously unselected package libghc-kan-extensions-dev. Preparing to unpack .../210-libghc-kan-extensions-dev_5.2.5-1+b3_amd64.deb ... Unpacking libghc-kan-extensions-dev (5.2.5-1+b3) ... Selecting previously unselected package libghc-parallel-dev. Preparing to unpack .../211-libghc-parallel-dev_3.2.2.0-4+b3_amd64.deb ... Unpacking libghc-parallel-dev (3.2.2.0-4+b3) ... Selecting previously unselected package libghc-reflection-dev. Preparing to unpack .../212-libghc-reflection-dev_2.1.6-2+b3_amd64.deb ... Unpacking libghc-reflection-dev (2.1.6-2+b3) ... Selecting previously unselected package libghc-lens-dev. Preparing to unpack .../213-libghc-lens-dev_5.0.1-2+b4_amd64.deb ... Unpacking libghc-lens-dev (5.0.1-2+b4) ... Selecting previously unselected package libghc-recursion-schemes-dev. Preparing to unpack .../214-libghc-recursion-schemes-dev_5.2.2.2-2+b3_amd64.deb ... Unpacking libghc-recursion-schemes-dev (5.2.2.2-2+b3) ... Selecting previously unselected package libghc-singletons-dev. Preparing to unpack .../215-libghc-singletons-dev_3.0.2-1_amd64.deb ... Unpacking libghc-singletons-dev (3.0.2-1) ... Selecting previously unselected package libghc-first-class-families-dev. Preparing to unpack .../216-libghc-first-class-families-dev_0.8.0.1-1+b4_amd64.deb ... Unpacking libghc-first-class-families-dev (0.8.0.1-1+b4) ... Selecting previously unselected package libghc-type-errors-dev. Preparing to unpack .../217-libghc-type-errors-dev_0.2.0.0-4+b5_amd64.deb ... Unpacking libghc-type-errors-dev (0.2.0.0-4+b5) ... Selecting previously unselected package libghc-uniplate-dev. Preparing to unpack .../218-libghc-uniplate-dev_1.6.13-1+b3_amd64.deb ... Unpacking libghc-uniplate-dev (1.6.13-1+b3) ... Selecting previously unselected package libghc-clash-prelude-dev. Preparing to unpack .../219-libghc-clash-prelude-dev_1.6.4-1+b5_amd64.deb ... Unpacking libghc-clash-prelude-dev (1.6.4-1+b5) ... Selecting previously unselected package libghc-clash-prelude-doc. Preparing to unpack .../220-libghc-clash-prelude-doc_1.6.4-1_all.deb ... Unpacking libghc-clash-prelude-doc (1.6.4-1) ... Selecting previously unselected package libghc-type-equality-prof. Preparing to unpack .../221-libghc-type-equality-prof_1-3+b3_amd64.deb ... Unpacking libghc-type-equality-prof (1-3+b3) ... Selecting previously unselected package libghc-constraints-prof. Preparing to unpack .../222-libghc-constraints-prof_0.13.4-1+b3_amd64.deb ... Unpacking libghc-constraints-prof (0.13.4-1+b3) ... Selecting previously unselected package libghc-data-binary-ieee754-prof. Preparing to unpack .../223-libghc-data-binary-ieee754-prof_0.4.4-11+b4_amd64.deb ... Unpacking libghc-data-binary-ieee754-prof (0.4.4-11+b4) ... Selecting previously unselected package libghc-data-default-class-prof. Preparing to unpack .../224-libghc-data-default-class-prof_0.1.2.0-6+b4_amd64.deb ... Unpacking libghc-data-default-class-prof (0.1.2.0-6+b4) ... Selecting previously unselected package libghc-clock-prof. Preparing to unpack .../225-libghc-clock-prof_0.8.3-1+b3_amd64.deb ... Unpacking libghc-clock-prof (0.8.3-1+b3) ... Selecting previously unselected package libghc-extra-prof. Preparing to unpack .../226-libghc-extra-prof_1.7.12-1_amd64.deb ... Unpacking libghc-extra-prof (1.7.12-1) ... Selecting previously unselected package libghc-ghc-tcplugins-extra-prof. Preparing to unpack .../227-libghc-ghc-tcplugins-extra-prof_0.4.3-1_amd64.deb ... Unpacking libghc-ghc-tcplugins-extra-prof (0.4.3-1) ... Selecting previously unselected package libghc-ghc-typelits-natnormalise-prof. Preparing to unpack .../228-libghc-ghc-typelits-natnormalise-prof_0.7.7-1_amd64.deb ... Unpacking libghc-ghc-typelits-natnormalise-prof (0.7.7-1) ... Selecting previously unselected package libghc-ghc-typelits-knownnat-prof. Preparing to unpack .../229-libghc-ghc-typelits-knownnat-prof_0.7.7-1_amd64.deb ... Unpacking libghc-ghc-typelits-knownnat-prof (0.7.7-1) ... Selecting previously unselected package libghc-ghc-typelits-extra-prof. Preparing to unpack .../230-libghc-ghc-typelits-extra-prof_0.4.3-2+b5_amd64.deb ... Unpacking libghc-ghc-typelits-extra-prof (0.4.3-2+b5) ... Selecting previously unselected package libghc-half-prof. Preparing to unpack .../231-libghc-half-prof_0.3.1-1+b3_amd64.deb ... Unpacking libghc-half-prof (0.3.1-1+b3) ... Selecting previously unselected package libghc-src-exts-prof. Preparing to unpack .../232-libghc-src-exts-prof_1.23.1-3+b3_amd64.deb ... Unpacking libghc-src-exts-prof (1.23.1-3+b3) ... Selecting previously unselected package libghc-syb-prof. Preparing to unpack .../233-libghc-syb-prof_0.7.2.2-1_amd64.deb ... Unpacking libghc-syb-prof (0.7.2.2-1) ... Selecting previously unselected package libghc-th-compat-prof. Preparing to unpack .../234-libghc-th-compat-prof_0.1.4-1_amd64.deb ... Unpacking libghc-th-compat-prof (0.1.4-1) ... Selecting previously unselected package libghc-th-lift-prof. Preparing to unpack .../235-libghc-th-lift-prof_0.8.2-1+b4_amd64.deb ... Unpacking libghc-th-lift-prof (0.8.2-1+b4) ... Selecting previously unselected package libghc-safe-prof. Preparing to unpack .../236-libghc-safe-prof_0.3.19-2+b2_amd64.deb ... Unpacking libghc-safe-prof (0.3.19-2+b2) ... Selecting previously unselected package libghc-th-expand-syns-prof. Preparing to unpack .../237-libghc-th-expand-syns-prof_0.4.10.0-1_amd64.deb ... Unpacking libghc-th-expand-syns-prof (0.4.10.0-1) ... Selecting previously unselected package libghc-th-reify-many-prof. Preparing to unpack .../238-libghc-th-reify-many-prof_0.1.10-1+b4_amd64.deb ... Unpacking libghc-th-reify-many-prof (0.1.10-1+b4) ... Selecting previously unselected package libghc-th-orphans-prof. Preparing to unpack .../239-libghc-th-orphans-prof_0.13.14-1+b2_amd64.deb ... Unpacking libghc-th-orphans-prof (0.13.14-1+b2) ... Selecting previously unselected package libghc-src-meta-prof. Preparing to unpack .../240-libghc-src-meta-prof_0.8.11-1_amd64.deb ... Unpacking libghc-src-meta-prof (0.8.11-1) ... Selecting previously unselected package libghc-interpolate-prof. Preparing to unpack .../241-libghc-interpolate-prof_0.2.1-2+b4_amd64.deb ... Unpacking libghc-interpolate-prof (0.2.1-2+b4) ... Selecting previously unselected package libghc-invariant-prof. Preparing to unpack .../242-libghc-invariant-prof_0.5.6-1+b3_amd64.deb ... Unpacking libghc-invariant-prof (0.5.6-1+b3) ... Selecting previously unselected package libghc-kan-extensions-prof. Preparing to unpack .../243-libghc-kan-extensions-prof_5.2.5-1+b3_amd64.deb ... Unpacking libghc-kan-extensions-prof (5.2.5-1+b3) ... Selecting previously unselected package libghc-parallel-prof. Preparing to unpack .../244-libghc-parallel-prof_3.2.2.0-4+b3_amd64.deb ... Unpacking libghc-parallel-prof (3.2.2.0-4+b3) ... Selecting previously unselected package libghc-reflection-prof. Preparing to unpack .../245-libghc-reflection-prof_2.1.6-2+b3_amd64.deb ... Unpacking libghc-reflection-prof (2.1.6-2+b3) ... Selecting previously unselected package libghc-lens-prof. Preparing to unpack .../246-libghc-lens-prof_5.0.1-2+b4_amd64.deb ... Unpacking libghc-lens-prof (5.0.1-2+b4) ... Selecting previously unselected package libghc-recursion-schemes-prof. Preparing to unpack .../247-libghc-recursion-schemes-prof_5.2.2.2-2+b3_amd64.deb ... Unpacking libghc-recursion-schemes-prof (5.2.2.2-2+b3) ... Selecting previously unselected package libghc-singletons-prof. Preparing to unpack .../248-libghc-singletons-prof_3.0.2-1_amd64.deb ... Unpacking libghc-singletons-prof (3.0.2-1) ... Selecting previously unselected package libghc-first-class-families-prof. Preparing to unpack .../249-libghc-first-class-families-prof_0.8.0.1-1+b4_amd64.deb ... Unpacking libghc-first-class-families-prof (0.8.0.1-1+b4) ... Selecting previously unselected package libghc-type-errors-prof. Preparing to unpack .../250-libghc-type-errors-prof_0.2.0.0-4+b5_amd64.deb ... Unpacking libghc-type-errors-prof (0.2.0.0-4+b5) ... Selecting previously unselected package libghc-uniplate-prof. Preparing to unpack .../251-libghc-uniplate-prof_1.6.13-1+b3_amd64.deb ... Unpacking libghc-uniplate-prof (1.6.13-1+b3) ... Selecting previously unselected package libghc-clash-prelude-prof. Preparing to unpack .../252-libghc-clash-prelude-prof_1.6.4-1+b5_amd64.deb ... Unpacking libghc-clash-prelude-prof (1.6.4-1+b5) ... Selecting previously unselected package libghc-concurrent-supply-dev. Preparing to unpack .../253-libghc-concurrent-supply-dev_0.1.8-7_amd64.deb ... Unpacking libghc-concurrent-supply-dev (0.1.8-7) ... Selecting previously unselected package libghc-concurrent-supply-doc. Preparing to unpack .../254-libghc-concurrent-supply-doc_0.1.8-7_all.deb ... Unpacking libghc-concurrent-supply-doc (0.1.8-7) ... Selecting previously unselected package libghc-concurrent-supply-prof. Preparing to unpack .../255-libghc-concurrent-supply-prof_0.1.8-7_amd64.deb ... Unpacking libghc-concurrent-supply-prof (0.1.8-7) ... Selecting previously unselected package libghc-split-dev. Preparing to unpack .../256-libghc-split-dev_0.2.3.5-1_amd64.deb ... Unpacking libghc-split-dev (0.2.3.5-1) ... Selecting previously unselected package libghc-vector-algorithms-dev. Preparing to unpack .../257-libghc-vector-algorithms-dev_0.8.0.4-1+b1_amd64.deb ... Unpacking libghc-vector-algorithms-dev (0.8.0.4-1+b1) ... Selecting previously unselected package libghc-mono-traversable-dev. Preparing to unpack .../258-libghc-mono-traversable-dev_1.0.15.3-2+b2_amd64.deb ... Unpacking libghc-mono-traversable-dev (1.0.15.3-2+b2) ... Selecting previously unselected package libghc-unliftio-core-dev. Preparing to unpack .../259-libghc-unliftio-core-dev_0.2.0.1-2+b3_amd64.deb ... Unpacking libghc-unliftio-core-dev (0.2.0.1-2+b3) ... Selecting previously unselected package libghc-resourcet-dev. Preparing to unpack .../260-libghc-resourcet-dev_1.2.6-1+b1_amd64.deb ... Unpacking libghc-resourcet-dev (1.2.6-1+b1) ... Selecting previously unselected package libghc-conduit-dev. Preparing to unpack .../261-libghc-conduit-dev_1.3.4.3-1_amd64.deb ... Unpacking libghc-conduit-dev (1.3.4.3-1) ... Selecting previously unselected package libghc-split-prof. Preparing to unpack .../262-libghc-split-prof_0.2.3.5-1_amd64.deb ... Unpacking libghc-split-prof (0.2.3.5-1) ... Selecting previously unselected package libghc-vector-algorithms-prof. Preparing to unpack .../263-libghc-vector-algorithms-prof_0.8.0.4-1+b1_amd64.deb ... Unpacking libghc-vector-algorithms-prof (0.8.0.4-1+b1) ... Selecting previously unselected package libghc-mono-traversable-prof. Preparing to unpack .../264-libghc-mono-traversable-prof_1.0.15.3-2+b2_amd64.deb ... Unpacking libghc-mono-traversable-prof (1.0.15.3-2+b2) ... Selecting previously unselected package libghc-unliftio-core-prof. Preparing to unpack .../265-libghc-unliftio-core-prof_0.2.0.1-2+b3_amd64.deb ... Unpacking libghc-unliftio-core-prof (0.2.0.1-2+b3) ... Selecting previously unselected package libghc-resourcet-prof. Preparing to unpack .../266-libghc-resourcet-prof_1.2.6-1+b1_amd64.deb ... Unpacking libghc-resourcet-prof (1.2.6-1+b1) ... Selecting previously unselected package libghc-conduit-prof. Preparing to unpack .../267-libghc-conduit-prof_1.3.4.3-1_amd64.deb ... Unpacking libghc-conduit-prof (1.3.4.3-1) ... Selecting previously unselected package libghc-data-binary-ieee754-doc. Preparing to unpack .../268-libghc-data-binary-ieee754-doc_0.4.4-11_all.deb ... Unpacking libghc-data-binary-ieee754-doc (0.4.4-11) ... Selecting previously unselected package libghc-data-default-instances-containers-dev. Preparing to unpack .../269-libghc-data-default-instances-containers-dev_0.0.1-12+b3_amd64.deb ... Unpacking libghc-data-default-instances-containers-dev (0.0.1-12+b3) ... Selecting previously unselected package libghc-data-default-instances-dlist-dev. Preparing to unpack .../270-libghc-data-default-instances-dlist-dev_0.0.1-11+b3_amd64.deb ... Unpacking libghc-data-default-instances-dlist-dev (0.0.1-11+b3) ... Selecting previously unselected package libghc-old-locale-dev. Preparing to unpack .../271-libghc-old-locale-dev_1.0.0.7-10+b3_amd64.deb ... Unpacking libghc-old-locale-dev (1.0.0.7-10+b3) ... Selecting previously unselected package libghc-data-default-instances-old-locale-dev. Preparing to unpack .../272-libghc-data-default-instances-old-locale-dev_0.0.1-11+b3_amd64.deb ... Unpacking libghc-data-default-instances-old-locale-dev (0.0.1-11+b3) ... Selecting previously unselected package libghc-data-default-dev. Preparing to unpack .../273-libghc-data-default-dev_0.7.1.1-6+b3_amd64.deb ... Unpacking libghc-data-default-dev (0.7.1.1-6+b3) ... Selecting previously unselected package libghc-data-default-doc. Preparing to unpack .../274-libghc-data-default-doc_0.7.1.1-6_all.deb ... Unpacking libghc-data-default-doc (0.7.1.1-6) ... Selecting previously unselected package libghc-data-default-instances-containers-prof. Preparing to unpack .../275-libghc-data-default-instances-containers-prof_0.0.1-12+b3_amd64.deb ... Unpacking libghc-data-default-instances-containers-prof (0.0.1-12+b3) ... Selecting previously unselected package libghc-data-default-instances-dlist-prof. Preparing to unpack .../276-libghc-data-default-instances-dlist-prof_0.0.1-11+b3_amd64.deb ... Unpacking libghc-data-default-instances-dlist-prof (0.0.1-11+b3) ... Selecting previously unselected package libghc-old-locale-prof. Preparing to unpack .../277-libghc-old-locale-prof_1.0.0.7-10+b3_amd64.deb ... Unpacking libghc-old-locale-prof (1.0.0.7-10+b3) ... Selecting previously unselected package libghc-data-default-instances-old-locale-prof. Preparing to unpack .../278-libghc-data-default-instances-old-locale-prof_0.0.1-11+b3_amd64.deb ... Unpacking libghc-data-default-instances-old-locale-prof (0.0.1-11+b3) ... Selecting previously unselected package libghc-data-default-prof. Preparing to unpack .../279-libghc-data-default-prof_0.7.1.1-6+b3_amd64.deb ... Unpacking libghc-data-default-prof (0.7.1.1-6+b3) ... Selecting previously unselected package libghc-dlist-doc. Preparing to unpack .../280-libghc-dlist-doc_1.0-2_all.deb ... Unpacking libghc-dlist-doc (1.0-2) ... Selecting previously unselected package libghc-extra-doc. Preparing to unpack .../281-libghc-extra-doc_1.7.12-1_all.deb ... Unpacking libghc-extra-doc (1.7.12-1) ... Selecting previously unselected package libghc-fingertree-dev. Preparing to unpack .../282-libghc-fingertree-dev_0.1.5.0-1+b3_amd64.deb ... Unpacking libghc-fingertree-dev (0.1.5.0-1+b3) ... Selecting previously unselected package libghc-fingertree-prof. Preparing to unpack .../283-libghc-fingertree-prof_0.1.5.0-1+b3_amd64.deb ... Unpacking libghc-fingertree-prof (0.1.5.0-1+b3) ... Selecting previously unselected package libghc-ghc-paths-dev. Preparing to unpack .../284-libghc-ghc-paths-dev_0.1.0.12-2+b3_amd64.deb ... Unpacking libghc-ghc-paths-dev (0.1.0.12-2+b3) ... Selecting previously unselected package libghc-ghc-paths-prof. Preparing to unpack .../285-libghc-ghc-paths-prof_0.1.0.12-2+b3_amd64.deb ... Unpacking libghc-ghc-paths-prof (0.1.0.12-2+b3) ... Selecting previously unselected package libghc-glob-dev. Preparing to unpack .../286-libghc-glob-dev_0.10.2-1+b2_amd64.deb ... Unpacking libghc-glob-dev (0.10.2-1+b2) ... Selecting previously unselected package libghc-glob-prof. Preparing to unpack .../287-libghc-glob-prof_0.10.2-1+b2_amd64.deb ... Unpacking libghc-glob-prof (0.10.2-1+b2) ... Selecting previously unselected package libghc-hashable-doc. Preparing to unpack .../288-libghc-hashable-doc_1.3.5.0-2_all.deb ... Unpacking libghc-hashable-doc (1.3.5.0-2) ... Selecting previously unselected package libghc-haskell-lexer-dev. Preparing to unpack .../289-libghc-haskell-lexer-dev_1.1-2+b2_amd64.deb ... Unpacking libghc-haskell-lexer-dev (1.1-2+b2) ... Selecting previously unselected package libghc-haskell-lexer-prof. Preparing to unpack .../290-libghc-haskell-lexer-prof_1.1-2+b2_amd64.deb ... Unpacking libghc-haskell-lexer-prof (1.1-2+b2) ... Selecting previously unselected package libghc-temporary-dev. Preparing to unpack .../291-libghc-temporary-dev_1.3-3+b2_amd64.deb ... Unpacking libghc-temporary-dev (1.3-3+b2) ... Selecting previously unselected package libghc-hint-dev. Preparing to unpack .../292-libghc-hint-dev_0.9.0.6-1+b2_amd64.deb ... Unpacking libghc-hint-dev (0.9.0.6-1+b2) ... Selecting previously unselected package libghc-hint-doc. Preparing to unpack .../293-libghc-hint-doc_0.9.0.6-1_all.deb ... Unpacking libghc-hint-doc (0.9.0.6-1) ... Selecting previously unselected package libghc-temporary-prof. Preparing to unpack .../294-libghc-temporary-prof_1.3-3+b2_amd64.deb ... Unpacking libghc-temporary-prof (1.3-3+b2) ... Selecting previously unselected package libghc-hint-prof. Preparing to unpack .../295-libghc-hint-prof_0.9.0.6-1+b2_amd64.deb ... Unpacking libghc-hint-prof (0.9.0.6-1+b2) ... Selecting previously unselected package libghc-interpolate-doc. Preparing to unpack .../296-libghc-interpolate-doc_0.2.1-2_all.deb ... Unpacking libghc-interpolate-doc (0.2.1-2) ... Selecting previously unselected package libghc-lens-doc. Preparing to unpack .../297-libghc-lens-doc_5.0.1-2_all.deb ... Unpacking libghc-lens-doc (5.0.1-2) ... Selecting previously unselected package libyaml-0-2:amd64. Preparing to unpack .../298-libyaml-0-2_0.2.5-1_amd64.deb ... Unpacking libyaml-0-2:amd64 (0.2.5-1) ... Selecting previously unselected package libyaml-dev:amd64. Preparing to unpack .../299-libyaml-dev_0.2.5-1_amd64.deb ... Unpacking libyaml-dev:amd64 (0.2.5-1) ... Selecting previously unselected package libpkgconf3:amd64. Preparing to unpack .../300-libpkgconf3_1.8.1-1_amd64.deb ... Unpacking libpkgconf3:amd64 (1.8.1-1) ... Selecting previously unselected package pkgconf-bin. Preparing to unpack .../301-pkgconf-bin_1.8.1-1_amd64.deb ... Unpacking pkgconf-bin (1.8.1-1) ... Selecting previously unselected package pkgconf:amd64. Preparing to unpack .../302-pkgconf_1.8.1-1_amd64.deb ... Unpacking pkgconf:amd64 (1.8.1-1) ... Selecting previously unselected package pkg-config:amd64. Preparing to unpack .../303-pkg-config_1.8.1-1_amd64.deb ... Unpacking pkg-config:amd64 (1.8.1-1) ... Selecting previously unselected package libghc-libyaml-dev. Preparing to unpack .../304-libghc-libyaml-dev_0.1.2-2+b4_amd64.deb ... Unpacking libghc-libyaml-dev (0.1.2-2+b4) ... Selecting previously unselected package libghc-libyaml-prof. Preparing to unpack .../305-libghc-libyaml-prof_0.1.2-2+b4_amd64.deb ... Unpacking libghc-libyaml-prof (0.1.2-2+b4) ... Selecting previously unselected package libghc-optparse-applicative-dev. Preparing to unpack .../306-libghc-optparse-applicative-dev_0.16.1.0-1+b2_amd64.deb ... Unpacking libghc-optparse-applicative-dev (0.16.1.0-1+b2) ... Selecting previously unselected package libghc-optparse-applicative-prof. Preparing to unpack .../307-libghc-optparse-applicative-prof_0.16.1.0-1+b2_amd64.deb ... Unpacking libghc-optparse-applicative-prof (0.16.1.0-1+b2) ... Selecting previously unselected package libghc-ordered-containers-dev. Preparing to unpack .../308-libghc-ordered-containers-dev_0.2.2-3+b2_amd64.deb ... Unpacking libghc-ordered-containers-dev (0.2.2-3+b2) ... Selecting previously unselected package libghc-ordered-containers-doc. Preparing to unpack .../309-libghc-ordered-containers-doc_0.2.2-3_all.deb ... Unpacking libghc-ordered-containers-doc (0.2.2-3) ... Selecting previously unselected package libghc-ordered-containers-prof. Preparing to unpack .../310-libghc-ordered-containers-prof_0.2.2-3+b2_amd64.deb ... Unpacking libghc-ordered-containers-prof (0.2.2-3+b2) ... Selecting previously unselected package libghc-parsers-dev. Preparing to unpack .../311-libghc-parsers-dev_0.12.11-1+b2_amd64.deb ... Unpacking libghc-parsers-dev (0.12.11-1+b2) ... Selecting previously unselected package libghc-parsers-prof. Preparing to unpack .../312-libghc-parsers-prof_0.12.11-1+b2_amd64.deb ... Unpacking libghc-parsers-prof (0.12.11-1+b2) ... Selecting previously unselected package libghc-pretty-show-dev. Preparing to unpack .../313-libghc-pretty-show-dev_1.10-2+b2_amd64.deb ... Unpacking libghc-pretty-show-dev (1.10-2+b2) ... Selecting previously unselected package libghc-pretty-show-doc. Preparing to unpack .../314-libghc-pretty-show-doc_1.10-2_all.deb ... Unpacking libghc-pretty-show-doc (1.10-2) ... Selecting previously unselected package libghc-pretty-show-prof. Preparing to unpack .../315-libghc-pretty-show-prof_1.10-2+b2_amd64.deb ... Unpacking libghc-pretty-show-prof (1.10-2+b2) ... Selecting previously unselected package libghc-prettyprinter-dev. Preparing to unpack .../316-libghc-prettyprinter-dev_1.7.1-1+b3_amd64.deb ... Unpacking libghc-prettyprinter-dev (1.7.1-1+b3) ... Selecting previously unselected package libghc-prettyprinter-ansi-terminal-dev. Preparing to unpack .../317-libghc-prettyprinter-ansi-terminal-dev_1.1.3-1+b2_amd64.deb ... Unpacking libghc-prettyprinter-ansi-terminal-dev (1.1.3-1+b2) ... Selecting previously unselected package libghc-prettyprinter-prof. Preparing to unpack .../318-libghc-prettyprinter-prof_1.7.1-1+b3_amd64.deb ... Unpacking libghc-prettyprinter-prof (1.7.1-1+b3) ... Selecting previously unselected package libghc-prettyprinter-ansi-terminal-prof. Preparing to unpack .../319-libghc-prettyprinter-ansi-terminal-prof_1.1.3-1+b2_amd64.deb ... Unpacking libghc-prettyprinter-ansi-terminal-prof (1.1.3-1+b2) ... Selecting previously unselected package libghc-prettyprinter-doc. Preparing to unpack .../320-libghc-prettyprinter-doc_1.7.1-1_all.deb ... Unpacking libghc-prettyprinter-doc (1.7.1-1) ... Selecting previously unselected package libghc-primitive-doc. Preparing to unpack .../321-libghc-primitive-doc_0.7.3.0-1_all.deb ... Unpacking libghc-primitive-doc (0.7.3.0-1) ... Selecting previously unselected package libghc-reducers-dev. Preparing to unpack .../322-libghc-reducers-dev_3.12.4-1+b3_amd64.deb ... Unpacking libghc-reducers-dev (3.12.4-1+b3) ... Selecting previously unselected package libghc-reducers-prof. Preparing to unpack .../323-libghc-reducers-prof_3.12.4-1+b3_amd64.deb ... Unpacking libghc-reducers-prof (3.12.4-1+b3) ... Selecting previously unselected package libghc-src-meta-doc. Preparing to unpack .../324-libghc-src-meta-doc_0.8.11-1_all.deb ... Unpacking libghc-src-meta-doc (0.8.11-1) ... Selecting previously unselected package libghc-stringsearch-dev. Preparing to unpack .../325-libghc-stringsearch-dev_0.3.6.6-11+b2_amd64.deb ... Unpacking libghc-stringsearch-dev (0.3.6.6-11+b2) ... Selecting previously unselected package libghc-stringsearch-prof. Preparing to unpack .../326-libghc-stringsearch-prof_0.3.6.6-11+b2_amd64.deb ... Unpacking libghc-stringsearch-prof (0.3.6.6-11+b2) ... Selecting previously unselected package libghc-unbounded-delays-dev. Preparing to unpack .../327-libghc-unbounded-delays-dev_0.1.1.1-1+b3_amd64.deb ... Unpacking libghc-unbounded-delays-dev (0.1.1.1-1+b3) ... Selecting previously unselected package libghc-wcwidth-dev. Preparing to unpack .../328-libghc-wcwidth-dev_0.0.2-3+b3_amd64.deb ... Unpacking libghc-wcwidth-dev (0.0.2-3+b3) ... Selecting previously unselected package libghc-tasty-dev. Preparing to unpack .../329-libghc-tasty-dev_1.4.2.3-1+b2_amd64.deb ... Unpacking libghc-tasty-dev (1.4.2.3-1+b2) ... Selecting previously unselected package libghc-tasty-doc. Preparing to unpack .../330-libghc-tasty-doc_1.4.2.3-1_all.deb ... Unpacking libghc-tasty-doc (1.4.2.3-1) ... Selecting previously unselected package libghc-tasty-hunit-dev. Preparing to unpack .../331-libghc-tasty-hunit-dev_0.10.0.3-1+b1_amd64.deb ... Unpacking libghc-tasty-hunit-dev (0.10.0.3-1+b1) ... Selecting previously unselected package libghc-tasty-hunit-doc. Preparing to unpack .../332-libghc-tasty-hunit-doc_0.10.0.3-1_all.deb ... Unpacking libghc-tasty-hunit-doc (0.10.0.3-1) ... Selecting previously unselected package libghc-unbounded-delays-prof. Preparing to unpack .../333-libghc-unbounded-delays-prof_0.1.1.1-1+b3_amd64.deb ... Unpacking libghc-unbounded-delays-prof (0.1.1.1-1+b3) ... Selecting previously unselected package libghc-wcwidth-prof. Preparing to unpack .../334-libghc-wcwidth-prof_0.0.2-3+b3_amd64.deb ... Unpacking libghc-wcwidth-prof (0.0.2-3+b3) ... Selecting previously unselected package libghc-tasty-prof. Preparing to unpack .../335-libghc-tasty-prof_1.4.2.3-1+b2_amd64.deb ... Unpacking libghc-tasty-prof (1.4.2.3-1+b2) ... Selecting previously unselected package libghc-tasty-hunit-prof. Preparing to unpack .../336-libghc-tasty-hunit-prof_0.10.0.3-1+b1_amd64.deb ... Unpacking libghc-tasty-hunit-prof (0.10.0.3-1+b1) ... Selecting previously unselected package libghc-tasty-quickcheck-dev. Preparing to unpack .../337-libghc-tasty-quickcheck-dev_0.10.2-1+b2_amd64.deb ... Unpacking libghc-tasty-quickcheck-dev (0.10.2-1+b2) ... Selecting previously unselected package libghc-tasty-quickcheck-doc. Preparing to unpack .../338-libghc-tasty-quickcheck-doc_0.10.2-1_all.deb ... Unpacking libghc-tasty-quickcheck-doc (0.10.2-1) ... Selecting previously unselected package libghc-tasty-quickcheck-prof. Preparing to unpack .../339-libghc-tasty-quickcheck-prof_0.10.2-1+b2_amd64.deb ... Unpacking libghc-tasty-quickcheck-prof (0.10.2-1+b2) ... Selecting previously unselected package libghc-temporary-doc. Preparing to unpack .../340-libghc-temporary-doc_1.3-3_all.deb ... Unpacking libghc-temporary-doc (1.3-3) ... Selecting previously unselected package libghc-terminal-size-dev. Preparing to unpack .../341-libghc-terminal-size-dev_0.3.3-1+b3_amd64.deb ... Unpacking libghc-terminal-size-dev (0.3.3-1+b3) ... Selecting previously unselected package libghc-terminal-size-doc. Preparing to unpack .../342-libghc-terminal-size-doc_0.3.3-1_all.deb ... Unpacking libghc-terminal-size-doc (0.3.3-1) ... Selecting previously unselected package libghc-terminal-size-prof. Preparing to unpack .../343-libghc-terminal-size-prof_0.3.3-1+b3_amd64.deb ... Unpacking libghc-terminal-size-prof (0.3.3-1+b3) ... Selecting previously unselected package libghc-utf8-string-dev. Preparing to unpack .../344-libghc-utf8-string-dev_1.0.2-1+b2_amd64.deb ... Unpacking libghc-utf8-string-dev (1.0.2-1+b2) ... Selecting previously unselected package libghc-trifecta-dev. Preparing to unpack .../345-libghc-trifecta-dev_2.1.2-1+b4_amd64.deb ... Unpacking libghc-trifecta-dev (2.1.2-1+b4) ... Selecting previously unselected package libghc-trifecta-doc. Preparing to unpack .../346-libghc-trifecta-doc_2.1.2-1_all.deb ... Unpacking libghc-trifecta-doc (2.1.2-1) ... Selecting previously unselected package libghc-utf8-string-prof. Preparing to unpack .../347-libghc-utf8-string-prof_1.0.2-1+b2_amd64.deb ... Unpacking libghc-utf8-string-prof (1.0.2-1+b2) ... Selecting previously unselected package libghc-trifecta-prof. Preparing to unpack .../348-libghc-trifecta-prof_2.1.2-1+b4_amd64.deb ... Unpacking libghc-trifecta-prof (2.1.2-1+b4) ... Selecting previously unselected package libghc-unordered-containers-doc. Preparing to unpack .../349-libghc-unordered-containers-doc_0.2.17.0-2_all.deb ... Unpacking libghc-unordered-containers-doc (0.2.17.0-2) ... Selecting previously unselected package libghc-vector-binary-instances-dev. Preparing to unpack .../350-libghc-vector-binary-instances-dev_0.2.5.2-1+b1_amd64.deb ... Unpacking libghc-vector-binary-instances-dev (0.2.5.2-1+b1) ... Selecting previously unselected package libghc-vector-binary-instances-doc. Preparing to unpack .../351-libghc-vector-binary-instances-doc_0.2.5.2-1_all.deb ... Unpacking libghc-vector-binary-instances-doc (0.2.5.2-1) ... Selecting previously unselected package libghc-vector-binary-instances-prof. Preparing to unpack .../352-libghc-vector-binary-instances-prof_0.2.5.2-1+b1_amd64.deb ... Unpacking libghc-vector-binary-instances-prof (0.2.5.2-1+b1) ... Selecting previously unselected package libghc-vector-doc. Preparing to unpack .../353-libghc-vector-doc_0.12.3.1-1_all.deb ... Unpacking libghc-vector-doc (0.12.3.1-1) ... Selecting previously unselected package libghc-yaml-dev. Preparing to unpack .../354-libghc-yaml-dev_0.11.8.0-1+b5_amd64.deb ... Unpacking libghc-yaml-dev (0.11.8.0-1+b5) ... Selecting previously unselected package libghc-yaml-doc. Preparing to unpack .../355-libghc-yaml-doc_0.11.8.0-1_all.deb ... Unpacking libghc-yaml-doc (0.11.8.0-1) ... Selecting previously unselected package libghc-yaml-prof. Preparing to unpack .../356-libghc-yaml-prof_0.11.8.0-1+b5_amd64.deb ... Unpacking libghc-yaml-prof (0.11.8.0-1+b5) ... Selecting previously unselected package libghc-cryptohash-sha256-dev. Preparing to unpack .../357-libghc-cryptohash-sha256-dev_0.11.102.1-1+b1_amd64.deb ... Unpacking libghc-cryptohash-sha256-dev (0.11.102.1-1+b1) ... Selecting previously unselected package libghc-cryptohash-sha256-doc. Preparing to unpack .../358-libghc-cryptohash-sha256-doc_0.11.102.1-1_all.deb ... Unpacking libghc-cryptohash-sha256-doc (0.11.102.1-1) ... Selecting previously unselected package libghc-cryptohash-sha256-prof. Preparing to unpack .../359-libghc-cryptohash-sha256-prof_0.11.102.1-1+b1_amd64.deb ... Unpacking libghc-cryptohash-sha256-prof (0.11.102.1-1+b1) ... Selecting previously unselected package libghc-quickcheck-text-dev. Preparing to unpack .../360-libghc-quickcheck-text-dev_0.1.2.1-6+b2_amd64.deb ... Unpacking libghc-quickcheck-text-dev (0.1.2.1-6+b2) ... Selecting previously unselected package libghc-quickcheck-text-doc. Preparing to unpack .../361-libghc-quickcheck-text-doc_0.1.2.1-6_all.deb ... Unpacking libghc-quickcheck-text-doc (0.1.2.1-6) ... Selecting previously unselected package libghc-quickcheck-text-prof. Preparing to unpack .../362-libghc-quickcheck-text-prof_0.1.2.1-6+b2_amd64.deb ... Unpacking libghc-quickcheck-text-prof (0.1.2.1-6+b2) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:amd64 (1.5.7-1) ... Setting up libpsl5:amd64 (0.21.2-1) ... Setting up libunicode-utf8-perl (0.62-2) ... Setting up fonts-mathjax (2.7.9+dfsg-1) ... Setting up libicu72:amd64 (72.1-3) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up libjs-mathjax (2.7.9+dfsg-1) ... Setting up libdynaloader-functions-perl (0.003-3) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:amd64 (0.2.5-1) ... Setting up libdebhelper-perl (13.11.4) ... Setting up libbrotli1:amd64 (1.0.9-2+b6) ... Setting up libtry-tiny-perl (0.31-2) ... Setting up libnghttp2-14:amd64 (1.52.0-1+deb12u1) ... Setting up libmagic1:amd64 (1:5.44-3) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up file (1:5.44-3) ... Setting up libffi-dev:amd64 (3.4.4-1) ... Setting up libsub-install-perl (0.929-1) ... Setting up libsasl2-modules-db:amd64 (2.1.28+dfsg-10) ... Setting up autotools-dev (20220109.1) ... Setting up libpkgconf3:amd64 (1.8.1-1) ... Setting up libgmpxx4ldbl:amd64 (2:6.2.1+dfsg1-1.1) ... Setting up librtmp1:amd64 (2.4+20151223.gitfa8646d.1-2+b2) ... Setting up libncurses6:amd64 (6.4-4) ... Setting up autopoint (0.21-12) ... Setting up libb-hooks-op-check-perl:amd64 (0.22-2+b1) ... Setting up pkgconf-bin (1.8.1-1) ... Setting up libparams-util-perl (1.102-2+b1) ... Setting up libsasl2-2:amd64 (2.1.28+dfsg-10) ... Setting up autoconf (2.71-3) ... Setting up libsub-exporter-progressive-perl (0.001013-3) ... Setting up libtimedate-perl (2.3300-2) ... Setting up libdevel-confess-perl (0.009004-3) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up libpath-tiny-perl (0.144-1) ... Setting up libuchardet0:amd64 (0.0.7-1) ... Setting up libmd-dev:amd64 (1.0.4-2) ... Setting up libipc-run3-perl (0.048-3) ... Setting up libsub-override-perl (0.09-4) ... Setting up libssh2-1:amd64 (1.10.0-3+b1) ... Setting up sgml-base (1.31) ... Setting up libbsd0:amd64 (0.11.7-2) ... Setting up libelf1:amd64 (0.188-2.1) ... Setting up readline-common (8.2-1.3) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3~deb12u1) ... Setting up libbsd-dev:amd64 (0.11.7-2) ... Setting up dctrl-tools (2.24-3+b1) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libncurses-dev:amd64 (6.4-4) ... Setting up gettext (0.21-12) ... Setting up libgmp-dev:amd64 (2:6.2.1+dfsg1-1.1) ... Setting up libyaml-dev:amd64 (0.2.5-1) ... Setting up libtool (2.4.7-5) ... Setting up ghc (9.0.2-4) ... update-alternatives: using /usr/bin/runghc to provide /usr/bin/runhaskell (runhaskell) in auto mode update-alternatives: using /usr/bin/ghc to provide /usr/bin/haskell-compiler (haskell-compiler) in auto mode Setting up libghc-prettyprinter-doc (1.7.1-1) ... Setting up libghc-data-binary-ieee754-doc (0.4.4-11) ... Setting up libghc-hashable-doc (1.3.5.0-2) ... Setting up libghc-ordered-containers-dev (0.2.2-3+b2) ... Setting up libghc-src-exts-dev (1.23.1-3+b3) ... Setting up libghc-wcwidth-dev (0.0.2-3+b3) ... Setting up libghc-haskell-lexer-dev (1.1-2+b2) ... Setting up libreadline8:amd64 (8.2-1.3) ... Setting up libghc-tasty-quickcheck-doc (0.10.2-1) ... Setting up libghc-colour-dev (2.3.6-1+b3) ... Setting up libghc-unordered-containers-doc (0.2.17.0-2) ... Setting up libghc-clash-prelude-doc (1.6.4-1) ... Setting up libghc-primitive-doc (0.7.3.0-1) ... Setting up libghc-data-default-doc (0.7.1.1-6) ... Setting up libghc-fingertree-dev (0.1.5.0-1+b3) ... Setting up libghc-ghc-paths-dev (0.1.0.12-2+b3) ... Setting up libghc-vector-doc (0.12.3.1-1) ... Setting up libdevel-callchecker-perl:amd64 (0.008-2) ... Setting up libghc-data-binary-ieee754-dev (0.4.4-11+b4) ... Setting up libghc-indexed-traversable-dev (0.1.2-2+b1) ... Setting up libldap-2.5-0:amd64 (2.5.13+dfsg-5) ... Setting up libghc-ghc-tcplugins-extra-dev (0.4.3-1) ... Setting up libghc-temporary-doc (1.3-3) ... Setting up libghc-dlist-doc (1.0-2) ... Setting up libghc-attoparsec-doc (0.14.4-2) ... Setting up pkgconf:amd64 (1.8.1-1) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up libghc-unbounded-delays-dev (0.1.1.1-1+b3) ... Setting up libghc-data-default-class-dev (0.1.2.0-6+b4) ... Setting up dh-autoreconf (20) ... Setting up libghc-split-dev (0.2.3.5-1) ... Setting up libghc-type-equality-dev (1-3+b3) ... Setting up libghc-lazysmallcheck-dev (0.6-13+b3) ... Setting up libghc-hint-doc (0.9.0.6-1) ... Setting up libghc-th-compat-dev (0.1.4-1) ... Setting up libghc-async-doc (2.2.4-1) ... Setting up libghc-base-compat-dev (0.11.2-1+b3) ... Setting up libghc-clock-dev (0.8.3-1+b3) ... Setting up libghc-base16-bytestring-dev (1.0.2.0-1+b3) ... Setting up libghc-splitmix-dev (0.1.0.4-1+b3) ... Setting up ghc-doc (9.0.2-4) ... Setting up libghc-prettyprinter-dev (1.7.1-1+b3) ... Setting up libdata-optlist-perl (0.113-1) ... Setting up pkg-config:amd64 (1.8.1-1) ... Setting up libghc-concurrent-supply-doc (0.1.8-7) ... Setting up libghc-yaml-doc (0.11.8.0-1) ... Setting up libghc-statevar-dev (1.2.2-1+b3) ... Setting up libghc-old-locale-dev (1.0.0.7-10+b3) ... Setting up libghc-lens-doc (5.0.1-2) ... Setting up libghc-vector-binary-instances-doc (0.2.5.2-1) ... Setting up libghc-ghc-typelits-natnormalise-dev (0.7.7-1) ... Setting up libghc-th-abstraction-dev (0.4.5.0-1) ... Setting up libghc-base-orphans-dev (0.8.7-1) ... Setting up libghc-integer-logarithms-dev (1.0.3.1-2+b1) ... Setting up libghc-base16-bytestring-doc (1.0.2.0-1) ... Setting up libghc-transformers-compat-dev (0.6.6-1+b3) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libghc-aeson-pretty-doc (0.8.9-1) ... Setting up dwz (0.15-1) ... Setting up libghc-first-class-families-dev (0.8.0.1-1+b4) ... Setting up libghc-dlist-dev (1.0-2+b4) ... Setting up groff-base (1.22.4-10) ... Setting up libghc-pretty-show-doc (1.10-2) ... Setting up libghc-ansi-terminal-doc (0.11.3-1) ... Setting up libghc-cryptohash-sha256-doc (0.11.102.1-1) ... Setting up libghc-base-compat-batteries-dev (0.11.2-1+b3) ... Setting up libghc-tasty-doc (1.4.2.3-1) ... Setting up libghc-terminal-size-dev (0.3.3-1+b3) ... Setting up libghc-utf8-string-dev (1.0.2-1+b2) ... Setting up libghc-half-dev (0.3.1-1+b3) ... Setting up libghc-unliftio-core-dev (0.2.0.1-2+b3) ... Setting up libghc-stringsearch-dev (0.3.6.6-11+b2) ... Setting up libghc-syb-dev (0.7.2.2-1) ... Setting up libghc-extra-doc (1.7.12-1) ... Setting up libghc-tagged-dev (0.8.6.1-1+b3) ... Setting up libghc-trifecta-doc (2.1.2-1) ... Setting up libghc-ordered-containers-doc (0.2.2-3) ... Setting up libghc-onetuple-dev (0.3.1-1+b3) ... Setting up libghc-src-meta-doc (0.8.11-1) ... Setting up libghc-aeson-doc (2.0.3.0-1) ... Setting up libsub-exporter-perl (0.989-1) ... Setting up libghc-singletons-dev (3.0.2-1) ... Setting up libghc-safe-dev (0.3.19-2+b2) ... Setting up libghc-distributive-dev (0.6.2.1-1+b4) ... Setting up libghc-hashable-dev (1.3.5.0-2+b3) ... Setting up libghc-ansi-terminal-dev (0.11.3-1+b3) ... Setting up libghc-terminal-size-doc (0.3.3-1) ... Setting up libghc-cryptohash-sha256-dev (0.11.102.1-1+b1) ... Setting up libghc-quickcheck-text-doc (0.1.2.1-6) ... Setting up libghc-transformers-base-dev (0.4.6-1+b4) ... Setting up libghc-blaze-builder-dev (0.4.2.2-1+b3) ... Setting up libghc-type-errors-dev (0.2.0.0-4+b5) ... Setting up libghc-call-stack-dev (0.4.0-1+b3) ... Setting up libghc-semigroups-dev (0.19.2-1+b1) ... Setting up libghc-primitive-dev (0.7.3.0-1+b3) ... Setting up libghc-tasty-hunit-doc (0.10.0.3-1) ... Setting up libghc-interpolate-doc (0.2.1-2) ... Setting up ghc-prof (9.0.2-4) ... Setting up libghc-void-dev (0.7.3-3+b2) ... Setting up libghc-reflection-dev (2.1.6-2+b3) ... Setting up libghc-pretty-show-dev (1.10-2+b2) ... Setting up libghc-type-equality-prof (1-3+b3) ... Setting up libghc-cryptohash-sha256-prof (0.11.102.1-1+b1) ... Setting up libghc-parallel-dev (3.2.2.0-4+b3) ... Setting up libghc-dlist-prof (1.0-2+b4) ... Setting up libghc-first-class-families-prof (0.8.0.1-1+b4) ... Setting up libghc-resourcet-dev (1.2.6-1+b1) ... Setting up libghc-random-dev (1.2.1.1-2+b3) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libghc-extra-dev (1.7.12-1) ... Setting up libpython3.11-stdlib:amd64 (3.11.2-6) ... Setting up libghc-fingertree-prof (0.1.5.0-1+b3) ... Setting up libparams-classify-perl:amd64 (0.015-2+b1) ... Setting up libghc-uuid-types-dev (1.0.5-2+b1) ... Setting up libghc-constraints-dev (0.13.4-1+b3) ... Setting up libghc-prettyprinter-prof (1.7.1-1+b3) ... Setting up libghc-data-default-instances-containers-dev (0.0.1-12+b3) ... Setting up libghc-primitive-prof (0.7.3.0-1+b3) ... Setting up libghc-haskell-lexer-prof (1.1-2+b2) ... Setting up libghc-text-short-dev (0.1.5-1+b1) ... Setting up libghc-void-prof (0.7.3-3+b2) ... Setting up libghc-clock-prof (0.8.3-1+b3) ... Setting up libcurl3-gnutls:amd64 (7.88.1-10+deb12u4) ... Setting up libghc-data-default-class-prof (0.1.2.0-6+b4) ... Setting up libghc-semigroups-prof (0.19.2-1+b1) ... Setting up libghc-statevar-prof (1.2.2-1+b3) ... Setting up libghc-glob-dev (0.10.2-1+b2) ... Setting up libghc-base-orphans-prof (0.8.7-1) ... Setting up libghc-comonad-dev (5.0.8-1+b2) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libghc-half-prof (0.3.1-1+b3) ... Setting up libghc-splitmix-prof (0.1.0.4-1+b3) ... Setting up libghc-data-default-instances-containers-prof (0.0.1-12+b3) ... Setting up libghc-scientific-dev (0.3.7.0-1+b2) ... Setting up libghc-hashable-prof (1.3.5.0-2+b3) ... Setting up libghc-singletons-prof (3.0.2-1) ... Setting up libghc-time-compat-dev (1.9.6.1-1+b3) ... Setting up libghc-stringsearch-prof (0.3.6.6-11+b2) ... Setting up libghc-terminal-size-prof (0.3.3-1+b3) ... Setting up libghc-lazysmallcheck-prof (0.6-13+b3) ... Setting up libghc-th-expand-syns-dev (0.4.10.0-1) ... Setting up libghc-contravariant-dev (1.5.5-1+b3) ... Setting up libghc-wcwidth-prof (0.0.2-3+b3) ... Setting up libghc-quickcheck2-dev (2.14.2-1+b3) ... Setting up libghc-blaze-builder-prof (0.4.2.2-1+b3) ... Setting up libghc-data-default-instances-old-locale-dev (0.0.1-11+b3) ... Setting up libghc-unliftio-core-prof (0.2.0.1-2+b3) ... Setting up libghc-utf8-string-prof (1.0.2-1+b2) ... Setting up libghc-ghc-tcplugins-extra-prof (0.4.3-1) ... Setting up libmodule-runtime-perl (0.016-2) ... Setting up libghc-ordered-containers-prof (0.2.2-3+b2) ... Setting up libghc-th-abstraction-prof (0.4.5.0-1) ... Setting up libghc-temporary-dev (1.3-3+b2) ... Setting up libghc-unordered-containers-dev (0.2.17.0-2+b2) ... Setting up libghc-old-locale-prof (1.0.0.7-10+b3) ... Setting up libghc-th-lift-dev (0.8.2-1+b4) ... Setting up libghc-bifunctors-dev (5.5.13-1) ... Setting up libghc-uniplate-dev (1.6.13-1+b3) ... Setting up libghc-data-default-instances-dlist-dev (0.0.1-11+b3) ... Setting up libghc-integer-logarithms-prof (1.0.3.1-2+b1) ... Setting up libghc-hint-dev (0.9.0.6-1+b2) ... Setting up libghc-th-compat-prof (0.1.4-1) ... Setting up libghc-colour-prof (2.3.6-1+b3) ... Setting up libghc-text-short-prof (0.1.5-1+b1) ... Setting up libghc-ghc-typelits-knownnat-dev (0.7.7-1) ... Setting up libghc-scientific-prof (0.3.7.0-1+b2) ... Setting up libghc-blaze-markup-dev (0.8.2.8-1+b2) ... Setting up libghc-transformers-compat-prof (0.6.6-1+b3) ... Setting up libghc-charset-dev (0.3.9-1+b1) ... Setting up libghc-data-fix-dev (0.3.2-1+b4) ... Setting up libconst-fast-perl (0.014-2) ... Setting up libghc-tagged-prof (0.8.6.1-1+b3) ... Setting up libghc-src-exts-prof (1.23.1-3+b3) ... Setting up libghc-profunctors-dev (5.6.2-1+b3) ... Setting up libghc-call-stack-prof (0.4.0-1+b3) ... Setting up libghc-ansi-wl-pprint-dev (0.6.9-5+b3) ... Setting up libghc-unbounded-delays-prof (0.1.1.1-1+b3) ... Setting up libghc-attoparsec-dev (0.14.4-2+b1) ... Setting up libghc-stream-dev (0.4.7.2-8+b2) ... Setting up libghc-reflection-prof (2.1.6-2+b3) ... Setting up libghc-async-dev (2.2.4-1+b2) ... Setting up libghc-safe-prof (0.3.19-2+b2) ... Setting up libghc-blaze-html-dev (0.9.1.2-2+b1) ... Setting up libghc-base16-bytestring-prof (1.0.2.0-1+b3) ... Setting up libghc-split-prof (0.2.3.5-1) ... Setting up libghc-data-binary-ieee754-prof (0.4.4-11+b4) ... Setting up libghc-pretty-show-prof (1.10-2+b2) ... Setting up libghc-parallel-prof (3.2.2.0-4+b3) ... Setting up libghc-indexed-traversable-prof (0.1.2-2+b1) ... Setting up libghc-concurrent-supply-dev (0.1.8-7) ... Setting up libghc-prettyprinter-ansi-terminal-dev (1.1.3-1+b2) ... Setting up libghc-quickcheck-text-dev (0.1.2.1-6+b2) ... Setting up libghc-ghc-typelits-extra-dev (0.4.3-2+b5) ... Setting up libghc-assoc-dev (1.0.2-3+b3) ... Setting up libghc-parsers-dev (0.12.11-1+b2) ... Setting up libghc-time-compat-prof (1.9.6.1-1+b3) ... Setting up libghc-transformers-base-prof (0.4.6-1+b4) ... Setting up libghc-vector-dev (0.12.3.1-1+b2) ... Setting up libghc-data-default-instances-dlist-prof (0.0.1-11+b3) ... Setting up libpython3-stdlib:amd64 (3.11.2-1+b1) ... Setting up libghc-data-default-instances-old-locale-prof (0.0.1-11+b3) ... Setting up libghc-base-compat-prof (0.11.2-1+b3) ... Setting up libghc-th-reify-many-dev (0.1.10-1+b4) ... Setting up libghc-unordered-containers-prof (0.2.17.0-2+b2) ... Setting up libghc-extra-prof (1.7.12-1) ... Setting up libghc-async-prof (2.2.4-1+b2) ... Setting up libghc-ghc-paths-prof (0.1.0.12-2+b3) ... Setting up libghc-onetuple-prof (0.3.1-1+b3) ... Setting up python3.11 (3.11.2-6) ... Setting up libghc-syb-prof (0.7.2.2-1) ... Setting up libghc-data-default-dev (0.7.1.1-6+b3) ... Setting up libghc-resourcet-prof (1.2.6-1+b1) ... Setting up html-xml-utils (7.7-1.1) ... Setting up libghc-invariant-dev (0.5.6-1+b3) ... Setting up libghc-constraints-prof (0.13.4-1+b3) ... Setting up libmodule-implementation-perl (0.09-2) ... Setting up libghc-vector-algorithms-dev (0.8.0.4-1+b1) ... Setting up libghc-ansi-terminal-prof (0.11.3-1+b3) ... Setting up libghc-random-prof (1.2.1.1-2+b3) ... Setting up liblist-someutils-perl (0.59-1) ... Setting up debhelper (13.11.4) ... Setting up python3 (3.11.2-1+b1) ... Setting up libghc-contravariant-prof (1.5.5-1+b3) ... Setting up libghc-charset-prof (0.3.9-1+b1) ... Setting up libghc-semigroupoids-dev (5.3.7-1+b3) ... Setting up libghc-uniplate-prof (1.6.13-1+b3) ... Setting up libghc-uuid-types-prof (1.0.5-2+b1) ... Setting up libghc-th-orphans-dev (0.13.14-1+b2) ... Setting up libghc-distributive-prof (0.6.2.1-1+b4) ... Setting up libghc-attoparsec-prof (0.14.4-2+b1) ... Setting up libghc-parsers-prof (0.12.11-1+b2) ... Setting up python3-six (1.16.0-4) ... Setting up libghc-th-expand-syns-prof (0.4.10.0-1) ... Setting up libghc-ghc-typelits-natnormalise-prof (0.7.7-1) ... Setting up libghc-temporary-prof (1.3-3+b2) ... Setting up libghc-vector-prof (0.12.3.1-1+b2) ... Setting up libghc-type-errors-prof (0.2.0.0-4+b5) ... Setting up libghc-th-lift-prof (0.8.2-1+b4) ... Setting up libghc-arrows-dev (0.4.4.2-4+b1) ... Setting up libghc-glob-prof (0.10.2-1+b2) ... Setting up libghc-reducers-dev (3.12.4-1+b3) ... Setting up libghc-concurrent-supply-prof (0.1.8-7) ... Setting up libghc-ghc-typelits-knownnat-prof (0.7.7-1) ... Setting up libghc-optparse-applicative-dev (0.16.1.0-1+b2) ... Setting up libghc-blaze-markup-prof (0.8.2.8-1+b2) ... Setting up libghc-ansi-wl-pprint-prof (0.6.9-5+b3) ... Setting up libghc-data-fix-prof (0.3.2-1+b4) ... Setting up libghc-th-reify-many-prof (0.1.10-1+b4) ... Setting up dh-buildinfo (0.11+nmu2) ... Setting up libghc-these-dev (1.1.1.1-2+b3) ... Setting up libghc-prettyprinter-ansi-terminal-prof (1.1.3-1+b2) ... Setting up libghc-mono-traversable-dev (1.0.15.3-2+b2) ... Setting up libghc-data-default-prof (0.7.1.1-6+b3) ... Setting up libghc-ghc-typelits-extra-prof (0.4.3-2+b5) ... Setting up libghc-base-compat-batteries-prof (0.11.2-1+b3) ... Setting up libghc-indexed-traversable-instances-dev (0.1.1.1-1) ... Setting up libghc-vector-binary-instances-dev (0.2.5.2-1+b1) ... Setting up python3-pkg-resources (66.1.1-1) ... Setting up libghc-blaze-html-prof (0.9.1.2-2+b1) ... Setting up libghc-quickcheck2-prof (2.14.2-1+b3) ... Setting up libghc-optparse-applicative-prof (0.16.1.0-1+b2) ... Setting up libghc-indexed-traversable-instances-prof (0.1.1.1-1) ... Setting up libghc-free-dev (5.1.9-1+b4) ... Setting up libghc-th-orphans-prof (0.13.14-1+b2) ... Setting up libghc-vector-algorithms-prof (0.8.0.4-1+b1) ... Setting up libghc-comonad-prof (5.0.8-1+b2) ... Setting up libghc-src-meta-dev (0.8.11-1) ... Setting up libghc-hint-prof (0.9.0.6-1+b2) ... Setting up libghc-semialign-dev (1.2.0.1-1+b4) ... Setting up libghc-mono-traversable-prof (1.0.15.3-2+b2) ... Setting up libghc-tasty-dev (1.4.2.3-1+b2) ... Setting up libghc-strict-dev (0.4.0.1-1+b3) ... Setting up libghc-conduit-dev (1.3.4.3-1) ... Setting up libghc-adjunctions-dev (4.4.2-1+b2) ... Setting up libghc-tasty-prof (1.4.2.3-1+b2) ... Setting up libghc-libyaml-dev (0.1.2-2+b4) ... Setting up libghc-bifunctors-prof (5.5.13-1) ... Setting up libghc-vector-binary-instances-prof (0.2.5.2-1+b1) ... Setting up libghc-witherable-dev (0.4.2-2+b3) ... Setting up libghc-recursion-schemes-dev (5.2.2.2-2+b3) ... Setting up python3-scour (0.38.2-2) ... Setting up libghc-interpolate-dev (0.2.1-2+b4) ... Setting up libghc-stream-prof (0.4.7.2-8+b2) ... Setting up libghc-profunctors-prof (5.6.2-1+b3) ... Setting up libghc-tasty-quickcheck-dev (0.10.2-1+b2) ... Setting up libghc-quickcheck-text-prof (0.1.2.1-6+b2) ... Setting up libghc-assoc-prof (1.0.2-3+b3) ... Setting up libghc-src-meta-prof (0.8.11-1) ... Setting up libghc-semigroupoids-prof (5.3.7-1+b3) ... Setting up libghc-kan-extensions-dev (5.2.5-1+b3) ... Setting up libghc-arrows-prof (0.4.4.2-4+b1) ... Setting up libghc-conduit-prof (1.3.4.3-1) ... Setting up libghc-these-prof (1.1.1.1-2+b3) ... Setting up libghc-tasty-hunit-dev (0.10.0.3-1+b1) ... Setting up libghc-aeson-dev (2.0.3.0-1+b5) ... Setting up libghc-semialign-prof (1.2.0.1-1+b4) ... Setting up libghc-strict-prof (0.4.0.1-1+b3) ... Setting up libghc-libyaml-prof (0.1.2-2+b4) ... Setting up libghc-witherable-prof (0.4.2-2+b3) ... Setting up libghc-reducers-prof (3.12.4-1+b3) ... Setting up libghc-free-prof (5.1.9-1+b4) ... Setting up scour (0.38.2-2) ... Setting up libghc-invariant-prof (0.5.6-1+b3) ... Setting up libghc-interpolate-prof (0.2.1-2+b4) ... Setting up libghc-recursion-schemes-prof (5.2.2.2-2+b3) ... Setting up libghc-aeson-prof (2.0.3.0-1+b5) ... Setting up libghc-aeson-pretty-dev (0.8.9-1+b4) ... Setting up libghc-yaml-dev (0.11.8.0-1+b5) ... Setting up libghc-yaml-prof (0.11.8.0-1+b5) ... Setting up cdbs (0.4.166) ... Setting up libghc-tasty-quickcheck-prof (0.10.2-1+b2) ... Setting up haskell-devscripts-minimal (0.16.29) ... Setting up libghc-lens-dev (5.0.1-2+b4) ... Setting up libghc-tasty-hunit-prof (0.10.0.3-1+b1) ... Setting up libghc-adjunctions-prof (4.4.2-1+b2) ... Setting up libghc-aeson-pretty-prof (0.8.9-1+b4) ... Setting up libghc-clash-prelude-dev (1.6.4-1+b5) ... Setting up libghc-kan-extensions-prof (5.2.5-1+b3) ... Setting up libghc-lens-prof (5.0.1-2+b4) ... Setting up libghc-trifecta-dev (2.1.2-1+b4) ... Setting up libghc-clash-prelude-prof (1.6.4-1+b5) ... Setting up libghc-trifecta-prof (2.1.2-1+b4) ... Processing triggers for libc-bin (2.36-9+deb12u3) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps Reading package lists... Building dependency tree... Reading state information... fakeroot is already the newest version (1.31-1.2). 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package I: Running cd /build/reproducible-path/haskell-clash-lib-1.6.4/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../haskell-clash-lib_1.6.4-1_source.changes dpkg-buildpackage: info: source package haskell-clash-lib dpkg-buildpackage: info: source version 1.6.4-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Scott Talbert dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 fakeroot debian/rules clean test -x debian/rules dh_clean perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'clean_recipe' Running rm -rf dist dist-ghc dist-ghcjs dist-hugs Running rm -f debian/hlibrary.setup Running rm -f Setup.hi Setup.ho Setup.o Running rm -f rm -f configure-ghc-stamp rm -f build-ghc-stamp build-hugs-stamp build-haddock-stamp rm -f check-ghc-stamp rm -f debian/tmp rm -rf debian/tmp-inst-ghc debian/tmp-inst-ghcjs rm -rf debian/tmp-db rm -f debian/hlibrary.Makefile debian/rules build test -x debian/rules mkdir -p "." CDBS WARNING: DEB_DH_STRIP_ARGS is deprecated since 0.4.85 CDBS WARNING: DEB_COMPRESS_EXCLUDE is deprecated since 0.4.85 perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'make_setup_recipe' Running ghc --make Setup.hs -o debian/hlibrary.setup [1 of 1] Compiling Main ( Setup.hs, Setup.o ) Linking debian/hlibrary.setup ... perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'configure_recipe' Running find . ! -newer /tmp/Vxsvc8lpKg -exec touch -d 1998-01-01 UTC {} ; Running dh_listpackages libghc-clash-lib-dev libghc-clash-lib-prof libghc-clash-lib-doc haskell-clash-lib-utils Running dh_listpackages libghc-clash-lib-dev libghc-clash-lib-prof libghc-clash-lib-doc haskell-clash-lib-utils Running dpkg-buildflags --get LDFLAGS -Wl,-z,relro Running debian/hlibrary.setup configure --ghc -v2 --package-db=/var/lib/ghc/package.conf.d --prefix=/usr --libdir=/usr/lib/haskell-packages/ghc/lib --libexecdir=/usr/lib --builddir=dist-ghc --ghc-option=-optl-Wl,-z,relro --haddockdir=/usr/lib/ghc-doc/haddock/clash-lib-1.6.4/ --datasubdir=clash-lib --htmldir=/usr/share/doc/libghc-clash-lib-doc/html/ --enable-library-profiling --enable-tests Using Parsec parser Configuring clash-lib-1.6.4... Flags chosen: debug=False, unittests=True Dependency aeson >=0.6.2.0 && <2.1: using aeson-2.0.3.0 Dependency aeson-pretty >=0.8 && <0.9: using aeson-pretty-0.8.9 Dependency ansi-terminal >=0.8.0.0 && <0.12: using ansi-terminal-0.11.3 Dependency array: using array-0.5.4.0 Dependency async >=2.2.0 && <2.3: using async-2.2.4 Dependency attoparsec >=0.10.4.0 && <0.15: using attoparsec-0.14.4 Dependency base >=4.11 && <5: using base-4.15.1.0 Dependency base16-bytestring >=0.1.1 && <1.1: using base16-bytestring-1.0.2.0 Dependency binary >=0.8.5 && <0.11: using binary-0.8.8.0 Dependency bytestring >=0.10.0.2 && <0.12: using bytestring-0.10.12.1 Dependency clash-prelude ==1.6.4: using clash-prelude-1.6.4 Dependency concurrent-supply >=0.1.7 && <0.2: using concurrent-supply-0.1.8 Dependency containers >=0.5.0.0 && <0.7: using containers-0.6.4.1 Dependency cryptohash-sha256 >=0.11 && <0.12: using cryptohash-sha256-0.11.102.1 Dependency data-binary-ieee754 >=0.4.4 && <0.6: using data-binary-ieee754-0.4.4 Dependency data-default >=0.7 && <0.8: using data-default-0.7.1.1 Dependency deepseq >=1.3.0.2 && <1.5: using deepseq-1.4.5.0 Dependency directory >=1.2.0.1 && <1.4: using directory-1.3.6.2 Dependency dlist >=0.8 && <1.1: using dlist-1.0 Dependency exceptions >=0.8.3 && <0.11.0: using exceptions-0.10.4 Dependency extra >=1.6.17 && <1.8: using extra-1.7.12 Dependency filepath >=1.3.0.1 && <1.5: using filepath-1.4.2.1 Dependency ghc >=8.6.0 && <9.1: using ghc-9.0.2 Dependency ghc-bignum >=1.0 && <1.3: using ghc-bignum-1.1 Dependency ghc-boot-th: using ghc-boot-th-9.0.2 Dependency hashable >=1.2.1.0 && <1.5: using hashable-1.3.5.0 Dependency haskell-src-meta >=0.8 && <0.9: using haskell-src-meta-0.8.11 Dependency hint >=0.7 && <0.10: using hint-0.9.0.6 Dependency interpolate >=0.2.0 && <1.0: using interpolate-0.2.1 Dependency lens >=4.10 && <5.2.0: using lens-5.0.1 Dependency mtl >=2.1.2 && <2.3: using mtl-2.2.2 Dependency ordered-containers >=0.2 && <0.3: using ordered-containers-0.2.2 Dependency pretty-show >=1.9 && <2.0: using pretty-show-1.10 Dependency prettyprinter >=1.2.0.1 && <1.8: using prettyprinter-1.7.1 Dependency primitive >=0.5.0.1 && <1.0: using primitive-0.7.3.0 Dependency template-haskell >=2.8.0.0 && <2.18: using template-haskell-2.17.0.0 Dependency temporary >=1.2.1 && <1.4: using temporary-1.3 Dependency terminal-size >=0.3 && <0.4: using terminal-size-0.3.3 Dependency text >=1.2.2 && <2.1: using text-1.2.5.0 Dependency time >=1.4.0.1 && <1.14: using time-1.9.3 Dependency transformers >=0.5.2.0 && <0.7: using transformers-0.5.6.2 Dependency trifecta >=1.7.1.1 && <2.2: using trifecta-2.1.2 Dependency unordered-containers >=0.2.3.3 && <0.3: using unordered-containers-0.2.17.0 Dependency vector >=0.11 && <1.0: using vector-0.12.3.1 Dependency vector-binary-instances >=0.2.3.5 && <0.3: using vector-binary-instances-0.2.5.2 Dependency yaml >=0.11 && <0.12: using yaml-0.11.8.0 Dependency Glob: using Glob-0.10.2 Dependency aeson: using aeson-2.0.3.0 Dependency base: using base-4.15.1.0 Dependency bytestring: using bytestring-0.10.12.1 Dependency clash-lib: using clash-lib-1.6.4 Dependency containers: using containers-0.6.4.1 Dependency deepseq: using deepseq-1.4.5.0 Dependency directory: using directory-1.3.6.2 Dependency stringsearch: using stringsearch-0.3.6.6 Dependency yaml: using yaml-0.11.8.0 Dependency aeson: using aeson-2.0.3.0 Dependency aeson-pretty: using aeson-pretty-0.8.9 Dependency base: using base-4.15.1.0 Dependency base16-bytestring: using base16-bytestring-1.0.2.0 Dependency bytestring: using bytestring-0.10.12.1 Dependency clash-lib: using clash-lib-1.6.4 Dependency clash-prelude: using clash-prelude-1.6.4 Dependency concurrent-supply: using concurrent-supply-0.1.8 Dependency containers: using containers-0.6.4.1 Dependency data-default: using data-default-0.7.1.1 Dependency deepseq: using deepseq-1.4.5.0 Dependency ghc: using ghc-9.0.2 Dependency ghc-typelits-knownnat: using ghc-typelits-knownnat-0.7.7 Dependency haskell-src-exts: using haskell-src-exts-1.23.1 Dependency lens: using lens-5.0.1 Dependency pretty-show: using pretty-show-1.10 Dependency quickcheck-text: using quickcheck-text-0.1.2.1 Dependency tasty >=1.2 && <1.5: using tasty-1.4.2.3 Dependency tasty-hunit: using tasty-hunit-0.10.0.3 Dependency tasty-quickcheck: using tasty-quickcheck-0.10.2 Dependency template-haskell: using template-haskell-2.17.0.0 Dependency text: using text-1.2.5.0 Dependency transformers: using transformers-0.5.6.2 Dependency unordered-containers: using unordered-containers-0.2.17.0 Source component graph: component lib component exe:v16-upgrade-primitives dependency lib component test:unittests dependency lib Configured component graph: component clash-lib-1.6.4-6RXhvmitb426M9x994WU1d include aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB include aeson-pretty-0.8.9-IS0MN1xtxsr8pxns17Cw6T include ansi-terminal-0.11.3-IH2BPUJwIafDdAFg8KPeez include array-0.5.4.0 include async-2.2.4-ENV3fyyU7vj5F6vRqPBI14 include attoparsec-0.14.4-ASUOPk5RpsVDRcb75ybcSE include base-4.15.1.0 include base16-bytestring-1.0.2.0-1Niki1my8SaCjTJavgjfa2 include binary-0.8.8.0 include bytestring-0.10.12.1 include clash-prelude-1.6.4-LGazv5LCaVL5CedqwbVEjM include concurrent-supply-0.1.8-E9YnzpQBK1cAdwZ9eAM2JY include containers-0.6.4.1 include cryptohash-sha256-0.11.102.1-7gCidyHkArtGVpA3otNIHl include data-binary-ieee754-0.4.4-6nKeQnWkGoLJGejCHaRaWS include data-default-0.7.1.1-2oomw3KKtyj2e0GcZqJJjo include deepseq-1.4.5.0 include directory-1.3.6.2 include dlist-1.0-9xW5LAwZRkJL6y4M05H3am include exceptions-0.10.4 include extra-1.7.12-GLwVzEmsbDfAUBFvQmYKva include filepath-1.4.2.1 include ghc-9.0.2 include ghc-bignum-1.1 include ghc-boot-th-9.0.2 include hashable-1.3.5.0-Hd8HSYZ7DN8KsO4HNlPmYP include haskell-src-meta-0.8.11-GhxKZv7oqRSLYivfATZrtH include hint-0.9.0.6-HgKYJTrorOJIGDC8f1M0HL include interpolate-0.2.1-3fMtOO3C9lTHmmzuiHf8kY include lens-5.0.1-AoCTUgbk72lLKSz1I2VTsj include mtl-2.2.2 include ordered-containers-0.2.2-3ZJFCOHv4Xt5NYft4y5Gmm include pretty-show-1.10-30ddFMLbEm1DQ0GcmAHjnN include prettyprinter-1.7.1-CjS3pJTG0SQB1zZPfT6eT9 include primitive-0.7.3.0-EikPDi9CXNiB9f5MDJybeY include template-haskell-2.17.0.0 include temporary-1.3-FW3rcq7XCDL8cgO9MswHTe include terminal-size-0.3.3-9JiTHA73QXVH7lW7Leoqqc include text-1.2.5.0 include time-1.9.3 include transformers-0.5.6.2 include trifecta-2.1.2-5IDlLEVnXutLQ4EeLvrKMc include unordered-containers-0.2.17.0-FS8hZKYGMqLFC8ibuPNvjR include vector-0.12.3.1-TXkE6leK98EdYcmdk29JF include vector-binary-instances-0.2.5.2-BOXKajFEkmB2vhmXzjYuvU include yaml-0.11.8.0-Ev7xTifzNWe3ykRnMI5Xg3 component clash-lib-1.6.4-Fxxm3S7LFDHFfGSIGqGMco-v16-upgrade-primitives include Glob-0.10.2-4JLNGNt33f16PiHlpgK9B8 include aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB include base-4.15.1.0 include bytestring-0.10.12.1 include clash-lib-1.6.4-6RXhvmitb426M9x994WU1d include containers-0.6.4.1 include deepseq-1.4.5.0 include directory-1.3.6.2 include stringsearch-0.3.6.6-ETSTNTEJqO81SbAoV1mhMz include yaml-0.11.8.0-Ev7xTifzNWe3ykRnMI5Xg3 component clash-lib-1.6.4-9qX8OrjE44SLsGVjCVH6T3-unittests include aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB include aeson-pretty-0.8.9-IS0MN1xtxsr8pxns17Cw6T include base-4.15.1.0 include base16-bytestring-1.0.2.0-1Niki1my8SaCjTJavgjfa2 include bytestring-0.10.12.1 include clash-lib-1.6.4-6RXhvmitb426M9x994WU1d include clash-prelude-1.6.4-LGazv5LCaVL5CedqwbVEjM include concurrent-supply-0.1.8-E9YnzpQBK1cAdwZ9eAM2JY include containers-0.6.4.1 include data-default-0.7.1.1-2oomw3KKtyj2e0GcZqJJjo include deepseq-1.4.5.0 include ghc-9.0.2 include ghc-typelits-knownnat-0.7.7-EziVik3ySguCo4yHKK2yLw include haskell-src-exts-1.23.1-2zb0KSMyo1KD8rYiWB6GLQ include lens-5.0.1-AoCTUgbk72lLKSz1I2VTsj include pretty-show-1.10-30ddFMLbEm1DQ0GcmAHjnN include quickcheck-text-0.1.2.1-7yd7vhI44oA9qsf1UT0aZJ include tasty-1.4.2.3-2IGFyXvgs0G3UGcyBkgZfP include tasty-hunit-0.10.0.3-FakCucTvSaNIoDOmxS2SdO include tasty-quickcheck-0.10.2-ES145NFQiix2qFtvGD0Mtz include template-haskell-2.17.0.0 include text-1.2.5.0 include transformers-0.5.6.2 include unordered-containers-0.2.17.0-FS8hZKYGMqLFC8ibuPNvjR Linked component graph: unit clash-lib-1.6.4-6RXhvmitb426M9x994WU1d include aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB include aeson-pretty-0.8.9-IS0MN1xtxsr8pxns17Cw6T include ansi-terminal-0.11.3-IH2BPUJwIafDdAFg8KPeez include array-0.5.4.0 include async-2.2.4-ENV3fyyU7vj5F6vRqPBI14 include attoparsec-0.14.4-ASUOPk5RpsVDRcb75ybcSE include base-4.15.1.0 include base16-bytestring-1.0.2.0-1Niki1my8SaCjTJavgjfa2 include binary-0.8.8.0 include bytestring-0.10.12.1 include clash-prelude-1.6.4-LGazv5LCaVL5CedqwbVEjM include concurrent-supply-0.1.8-E9YnzpQBK1cAdwZ9eAM2JY include containers-0.6.4.1 include cryptohash-sha256-0.11.102.1-7gCidyHkArtGVpA3otNIHl include data-binary-ieee754-0.4.4-6nKeQnWkGoLJGejCHaRaWS include data-default-0.7.1.1-2oomw3KKtyj2e0GcZqJJjo include deepseq-1.4.5.0 include directory-1.3.6.2 include dlist-1.0-9xW5LAwZRkJL6y4M05H3am include exceptions-0.10.4 include extra-1.7.12-GLwVzEmsbDfAUBFvQmYKva include filepath-1.4.2.1 include ghc-9.0.2 include ghc-bignum-1.1 include ghc-boot-th-9.0.2 include hashable-1.3.5.0-Hd8HSYZ7DN8KsO4HNlPmYP include haskell-src-meta-0.8.11-GhxKZv7oqRSLYivfATZrtH include hint-0.9.0.6-HgKYJTrorOJIGDC8f1M0HL include interpolate-0.2.1-3fMtOO3C9lTHmmzuiHf8kY include lens-5.0.1-AoCTUgbk72lLKSz1I2VTsj include mtl-2.2.2 include ordered-containers-0.2.2-3ZJFCOHv4Xt5NYft4y5Gmm include pretty-show-1.10-30ddFMLbEm1DQ0GcmAHjnN include prettyprinter-1.7.1-CjS3pJTG0SQB1zZPfT6eT9 include primitive-0.7.3.0-EikPDi9CXNiB9f5MDJybeY include template-haskell-2.17.0.0 include temporary-1.3-FW3rcq7XCDL8cgO9MswHTe include terminal-size-0.3.3-9JiTHA73QXVH7lW7Leoqqc include text-1.2.5.0 include time-1.9.3 include transformers-0.5.6.2 include trifecta-2.1.2-5IDlLEVnXutLQ4EeLvrKMc include unordered-containers-0.2.17.0-FS8hZKYGMqLFC8ibuPNvjR include vector-0.12.3.1-TXkE6leK98EdYcmdk29JF include vector-binary-instances-0.2.5.2-BOXKajFEkmB2vhmXzjYuvU include yaml-0.11.8.0-Ev7xTifzNWe3ykRnMI5Xg3 Clash.Annotations.BitRepresentation.ClashLib=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Annotations.BitRepresentation.ClashLib,Clash.Backend=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Backend,Clash.Backend.SystemVerilog=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Backend.SystemVerilog,Clash.Backend.VHDL=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Backend.VHDL,Clash.Backend.Verilog=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Backend.Verilog,Clash.Core.DataCon=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.DataCon,Clash.Core.EqSolver=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.EqSolver,Clash.Core.Evaluator.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Evaluator.Types,Clash.Core.FreeVars=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.FreeVars,Clash.Core.HasFreeVars=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.HasFreeVars,Clash.Core.HasType=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.HasType,Clash.Core.Literal=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Literal,Clash.Core.Name=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Name,Clash.Core.PartialEval=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.PartialEval,Clash.Core.PartialEval.AsTerm=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.PartialEval.AsTerm,Clash.Core.PartialEval.Monad=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.PartialEval.Monad,Clash.Core.PartialEval.NormalForm=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.PartialEval.NormalForm,Clash.Core.Pretty=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Pretty,Clash.Core.Subst=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Subst,Clash.Core.Term=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Term,Clash.Core.TermInfo=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.TermInfo,Clash.Core.TermLiteral=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.TermLiteral,Clash.Core.TermLiteral.TH=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.TermLiteral.TH,Clash.Core.TyCon=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.TyCon,Clash.Core.Type=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Type,Clash.Core.TysPrim=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.TysPrim,Clash.Core.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Util,Clash.Core.Var=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.Var,Clash.Core.VarEnv=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Core.VarEnv,Clash.Debug=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Debug,Clash.Driver=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Driver,Clash.Driver.Manifest=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Driver.Manifest,Clash.Driver.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Driver.Types,Clash.Edalize.Edam=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Edalize.Edam,Clash.Netlist=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist,Clash.Netlist.BlackBox=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.BlackBox,Clash.Netlist.BlackBox.Parser=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.BlackBox.Parser,Clash.Netlist.BlackBox.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.BlackBox.Types,Clash.Netlist.BlackBox.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.BlackBox.Util,Clash.Netlist.Id=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Id,Clash.Netlist.Id.Common=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Id.Common,Clash.Netlist.Id.Internal=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Id.Internal,Clash.Netlist.Id.SystemVerilog=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Id.SystemVerilog,Clash.Netlist.Id.VHDL=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Id.VHDL,Clash.Netlist.Id.Verilog=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Id.Verilog,Clash.Netlist.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Types,Clash.Netlist.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Netlist.Util,Clash.Normalize=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize,Clash.Normalize.PrimitiveReductions=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.PrimitiveReductions,Clash.Normalize.Primitives=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Primitives,Clash.Normalize.Strategy=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Strategy,Clash.Normalize.Transformations=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations,Clash.Normalize.Transformations.ANF=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.ANF,Clash.Normalize.Transformations.Case=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.Case,Clash.Normalize.Transformations.Cast=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.Cast,Clash.Normalize.Transformations.DEC=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.DEC,Clash.Normalize.Transformations.EtaExpand=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.EtaExpand,Clash.Normalize.Transformations.Inline=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.Inline,Clash.Normalize.Transformations.Letrec=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.Letrec,Clash.Normalize.Transformations.MultiPrim=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.MultiPrim,Clash.Normalize.Transformations.Reduce=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.Reduce,Clash.Normalize.Transformations.SeparateArgs=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.SeparateArgs,Clash.Normalize.Transformations.Specialize=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.Specialize,Clash.Normalize.Transformations.XOptimize=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Transformations.XOptimize,Clash.Normalize.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Types,Clash.Normalize.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Normalize.Util,Clash.Pretty=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Pretty,Clash.Primitives.DSL=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.DSL,Clash.Primitives.GHC.Int=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.GHC.Int,Clash.Primitives.GHC.Literal=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.GHC.Literal,Clash.Primitives.GHC.Word=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.GHC.Word,Clash.Primitives.Intel.ClockGen=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Intel.ClockGen,Clash.Primitives.Sized.Signed=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Sized.Signed,Clash.Primitives.Sized.ToInteger=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Sized.ToInteger,Clash.Primitives.Sized.Vector=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Sized.Vector,Clash.Primitives.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Types,Clash.Primitives.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Util,Clash.Primitives.Verification=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Primitives.Verification,Clash.Rewrite.Combinators=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Rewrite.Combinators,Clash.Rewrite.Types=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Rewrite.Types,Clash.Rewrite.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Rewrite.Util,Clash.Rewrite.WorkFree=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Rewrite.WorkFree,Clash.Unique=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Unique,Clash.Util=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Util,Clash.Util.Eq=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Util.Eq,Clash.Util.Graph=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Util.Graph,Clash.Util.Interpolate=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Util.Interpolate,Clash.Verification.Pretty=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Clash.Verification.Pretty,Data.Aeson.Extra=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Data.Aeson.Extra,Data.Text.Prettyprint.Doc.Extra=clash-lib-1.6.4-6RXhvmitb426M9x994WU1d:Data.Text.Prettyprint.Doc.Extra unit clash-lib-1.6.4-Fxxm3S7LFDHFfGSIGqGMco-v16-upgrade-primitives include Glob-0.10.2-4JLNGNt33f16PiHlpgK9B8 include aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB include base-4.15.1.0 include bytestring-0.10.12.1 include clash-lib-1.6.4-6RXhvmitb426M9x994WU1d include containers-0.6.4.1 include deepseq-1.4.5.0 include directory-1.3.6.2 include stringsearch-0.3.6.6-ETSTNTEJqO81SbAoV1mhMz include yaml-0.11.8.0-Ev7xTifzNWe3ykRnMI5Xg3 unit clash-lib-1.6.4-9qX8OrjE44SLsGVjCVH6T3-unittests include aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB include aeson-pretty-0.8.9-IS0MN1xtxsr8pxns17Cw6T include base-4.15.1.0 include base16-bytestring-1.0.2.0-1Niki1my8SaCjTJavgjfa2 include bytestring-0.10.12.1 include clash-lib-1.6.4-6RXhvmitb426M9x994WU1d include clash-prelude-1.6.4-LGazv5LCaVL5CedqwbVEjM include concurrent-supply-0.1.8-E9YnzpQBK1cAdwZ9eAM2JY include containers-0.6.4.1 include data-default-0.7.1.1-2oomw3KKtyj2e0GcZqJJjo include deepseq-1.4.5.0 include ghc-9.0.2 include ghc-typelits-knownnat-0.7.7-EziVik3ySguCo4yHKK2yLw include haskell-src-exts-1.23.1-2zb0KSMyo1KD8rYiWB6GLQ include lens-5.0.1-AoCTUgbk72lLKSz1I2VTsj include pretty-show-1.10-30ddFMLbEm1DQ0GcmAHjnN include quickcheck-text-0.1.2.1-7yd7vhI44oA9qsf1UT0aZJ include tasty-1.4.2.3-2IGFyXvgs0G3UGcyBkgZfP include tasty-hunit-0.10.0.3-FakCucTvSaNIoDOmxS2SdO include tasty-quickcheck-0.10.2-ES145NFQiix2qFtvGD0Mtz include template-haskell-2.17.0.0 include text-1.2.5.0 include transformers-0.5.6.2 include unordered-containers-0.2.17.0-FS8hZKYGMqLFC8ibuPNvjR Ready component graph: definite clash-lib-1.6.4-6RXhvmitb426M9x994WU1d depends aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB depends aeson-pretty-0.8.9-IS0MN1xtxsr8pxns17Cw6T depends ansi-terminal-0.11.3-IH2BPUJwIafDdAFg8KPeez depends array-0.5.4.0 depends async-2.2.4-ENV3fyyU7vj5F6vRqPBI14 depends attoparsec-0.14.4-ASUOPk5RpsVDRcb75ybcSE depends base-4.15.1.0 depends base16-bytestring-1.0.2.0-1Niki1my8SaCjTJavgjfa2 depends binary-0.8.8.0 depends bytestring-0.10.12.1 depends clash-prelude-1.6.4-LGazv5LCaVL5CedqwbVEjM depends concurrent-supply-0.1.8-E9YnzpQBK1cAdwZ9eAM2JY depends containers-0.6.4.1 depends cryptohash-sha256-0.11.102.1-7gCidyHkArtGVpA3otNIHl depends data-binary-ieee754-0.4.4-6nKeQnWkGoLJGejCHaRaWS depends data-default-0.7.1.1-2oomw3KKtyj2e0GcZqJJjo depends deepseq-1.4.5.0 depends directory-1.3.6.2 depends dlist-1.0-9xW5LAwZRkJL6y4M05H3am depends exceptions-0.10.4 depends extra-1.7.12-GLwVzEmsbDfAUBFvQmYKva depends filepath-1.4.2.1 depends ghc-9.0.2 depends ghc-bignum-1.1 depends ghc-boot-th-9.0.2 depends hashable-1.3.5.0-Hd8HSYZ7DN8KsO4HNlPmYP depends haskell-src-meta-0.8.11-GhxKZv7oqRSLYivfATZrtH depends hint-0.9.0.6-HgKYJTrorOJIGDC8f1M0HL depends interpolate-0.2.1-3fMtOO3C9lTHmmzuiHf8kY depends lens-5.0.1-AoCTUgbk72lLKSz1I2VTsj depends mtl-2.2.2 depends ordered-containers-0.2.2-3ZJFCOHv4Xt5NYft4y5Gmm depends pretty-show-1.10-30ddFMLbEm1DQ0GcmAHjnN depends prettyprinter-1.7.1-CjS3pJTG0SQB1zZPfT6eT9 depends primitive-0.7.3.0-EikPDi9CXNiB9f5MDJybeY depends template-haskell-2.17.0.0 depends temporary-1.3-FW3rcq7XCDL8cgO9MswHTe depends terminal-size-0.3.3-9JiTHA73QXVH7lW7Leoqqc depends text-1.2.5.0 depends time-1.9.3 depends transformers-0.5.6.2 depends trifecta-2.1.2-5IDlLEVnXutLQ4EeLvrKMc depends unordered-containers-0.2.17.0-FS8hZKYGMqLFC8ibuPNvjR depends vector-0.12.3.1-TXkE6leK98EdYcmdk29JF depends vector-binary-instances-0.2.5.2-BOXKajFEkmB2vhmXzjYuvU depends yaml-0.11.8.0-Ev7xTifzNWe3ykRnMI5Xg3 definite clash-lib-1.6.4-9qX8OrjE44SLsGVjCVH6T3-unittests depends aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB depends aeson-pretty-0.8.9-IS0MN1xtxsr8pxns17Cw6T depends base-4.15.1.0 depends base16-bytestring-1.0.2.0-1Niki1my8SaCjTJavgjfa2 depends bytestring-0.10.12.1 depends clash-lib-1.6.4-6RXhvmitb426M9x994WU1d depends clash-prelude-1.6.4-LGazv5LCaVL5CedqwbVEjM depends concurrent-supply-0.1.8-E9YnzpQBK1cAdwZ9eAM2JY depends containers-0.6.4.1 depends data-default-0.7.1.1-2oomw3KKtyj2e0GcZqJJjo depends deepseq-1.4.5.0 depends ghc-9.0.2 depends ghc-typelits-knownnat-0.7.7-EziVik3ySguCo4yHKK2yLw depends haskell-src-exts-1.23.1-2zb0KSMyo1KD8rYiWB6GLQ depends lens-5.0.1-AoCTUgbk72lLKSz1I2VTsj depends pretty-show-1.10-30ddFMLbEm1DQ0GcmAHjnN depends quickcheck-text-0.1.2.1-7yd7vhI44oA9qsf1UT0aZJ depends tasty-1.4.2.3-2IGFyXvgs0G3UGcyBkgZfP depends tasty-hunit-0.10.0.3-FakCucTvSaNIoDOmxS2SdO depends tasty-quickcheck-0.10.2-ES145NFQiix2qFtvGD0Mtz depends template-haskell-2.17.0.0 depends text-1.2.5.0 depends transformers-0.5.6.2 depends unordered-containers-0.2.17.0-FS8hZKYGMqLFC8ibuPNvjR definite clash-lib-1.6.4-Fxxm3S7LFDHFfGSIGqGMco-v16-upgrade-primitives depends Glob-0.10.2-4JLNGNt33f16PiHlpgK9B8 depends aeson-2.0.3.0-H8BOQwtT8HYFvWPR1b6zvB depends base-4.15.1.0 depends bytestring-0.10.12.1 depends clash-lib-1.6.4-6RXhvmitb426M9x994WU1d depends containers-0.6.4.1 depends deepseq-1.4.5.0 depends directory-1.3.6.2 depends stringsearch-0.3.6.6-ETSTNTEJqO81SbAoV1mhMz depends yaml-0.11.8.0-Ev7xTifzNWe3ykRnMI5Xg3 Using Cabal-3.4.1.0 compiled by ghc-9.0 Using compiler: ghc-9.0.2 Using install prefix: /usr Executables installed in: /usr/bin Libraries installed in: /usr/lib/haskell-packages/ghc/lib/x86_64-linux-ghc-9.0.2/clash-lib-1.6.4-6RXhvmitb426M9x994WU1d Dynamic Libraries installed in: /usr/lib/haskell-packages/ghc/lib/x86_64-linux-ghc-9.0.2 Private executables installed in: /usr/lib/x86_64-linux-ghc-9.0.2/clash-lib-1.6.4 Data files installed in: /usr/share/clash-lib Documentation installed in: /usr/share/doc/x86_64-linux-ghc-9.0.2/clash-lib-1.6.4 Configuration files installed in: /usr/etc No alex found Using ar found on system at: /usr/bin/x86_64-linux-gnu-ar No c2hs found No cpphs found No doctest found Using gcc version 12 found on system at: /usr/bin/x86_64-linux-gnu-gcc Using ghc version 9.0.2 found on system at: /usr/bin/ghc Using ghc-pkg version 9.0.2 found on system at: /usr/bin/ghc-pkg No ghcjs found No ghcjs-pkg found No greencard found Using haddock version 2.25.1 found on system at: /usr/bin/haddock No happy found Using haskell-suite found on system at: haskell-suite-dummy-location Using haskell-suite-pkg found on system at: haskell-suite-pkg-dummy-location No hmake found Using hpc version 0.68 found on system at: /usr/bin/hpc Using hsc2hs version 0.68.7 found on system at: /usr/bin/hsc2hs No hscolour found No jhc found Using ld found on system at: /usr/bin/x86_64-linux-gnu-ld.gold Using pkg-config version 1.8.1 found on system at: /usr/bin/pkg-config Using runghc version 9.0.2 found on system at: /usr/bin/runghc Using strip version 2.40 found on system at: /usr/bin/strip Using tar found on system at: /bin/tar No uhc found touch configure-ghc-stamp perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'build_recipe' Running dh_listpackages libghc-clash-lib-dev libghc-clash-lib-prof libghc-clash-lib-doc haskell-clash-lib-utils Preprocessing library for clash-lib-1.6.4.. Building library for clash-lib-1.6.4.. [ 1 of 111] Compiling Clash.Debug ( src/Clash/Debug.hs, dist-ghc/build/Clash/Debug.o, dist-ghc/build/Clash/Debug.dyn_o ) [ 2 of 111] Compiling Clash.Edalize.Edam ( src/Clash/Edalize/Edam.hs, dist-ghc/build/Clash/Edalize/Edam.o, dist-ghc/build/Clash/Edalize/Edam.dyn_o ) [ 3 of 111] Compiling Clash.Netlist.Types[boot] ( src/Clash/Netlist/Types.hs-boot, dist-ghc/build/Clash/Netlist/Types.o-boot, dist-ghc/build/Clash/Netlist/Types.dyn_o ) [ 4 of 111] Compiling Clash.Netlist.Id[boot] ( src/Clash/Netlist/Id.hs-boot, dist-ghc/build/Clash/Netlist/Id.o-boot, dist-ghc/build/Clash/Netlist/Id.dyn_o ) [ 5 of 111] Compiling Clash.Util.Eq ( src/Clash/Util/Eq.hs, dist-ghc/build/Clash/Util/Eq.o, dist-ghc/build/Clash/Util/Eq.dyn_o ) [ 6 of 111] Compiling Clash.Util.Interpolate ( src/Clash/Util/Interpolate.hs, dist-ghc/build/Clash/Util/Interpolate.o, dist-ghc/build/Clash/Util/Interpolate.dyn_o ) [ 7 of 111] Compiling Clash.Pretty ( src/Clash/Pretty.hs, dist-ghc/build/Clash/Pretty.o, dist-ghc/build/Clash/Pretty.dyn_o ) [ 8 of 111] Compiling Clash.Unique ( src/Clash/Unique.hs, dist-ghc/build/Clash/Unique.o, dist-ghc/build/Clash/Unique.dyn_o ) [ 9 of 111] Compiling Data.IntMap.Extra ( src/Data/IntMap/Extra.hs, dist-ghc/build/Data/IntMap/Extra.o, dist-ghc/build/Data/IntMap/Extra.dyn_o ) [ 10 of 111] Compiling Data.List.Extra ( src/Data/List/Extra.hs, dist-ghc/build/Data/List/Extra.o, dist-ghc/build/Data/List/Extra.dyn_o ) [ 11 of 111] Compiling Data.Map.Ordered.Extra ( src/Data/Map/Ordered/Extra.hs, dist-ghc/build/Data/Map/Ordered/Extra.o, dist-ghc/build/Data/Map/Ordered/Extra.dyn_o ) [ 12 of 111] Compiling Data.Monoid.Extra ( src/Data/Monoid/Extra.hs, dist-ghc/build/Data/Monoid/Extra.o, dist-ghc/build/Data/Monoid/Extra.dyn_o ) [ 13 of 111] Compiling Data.Primitive.ByteArray.Extra ( src/Data/Primitive/ByteArray/Extra.hs, dist-ghc/build/Data/Primitive/ByteArray/Extra.o, dist-ghc/build/Data/Primitive/ByteArray/Extra.dyn_o ) [ 14 of 111] Compiling Clash.Core.Literal ( src/Clash/Core/Literal.hs, dist-ghc/build/Clash/Core/Literal.o, dist-ghc/build/Clash/Core/Literal.dyn_o ) [ 15 of 111] Compiling Data.Set.Ordered.Extra ( src/Data/Set/Ordered/Extra.hs, dist-ghc/build/Data/Set/Ordered/Extra.o, dist-ghc/build/Data/Set/Ordered/Extra.dyn_o ) [ 16 of 111] Compiling Data.Text.Extra ( src/Data/Text/Extra.hs, dist-ghc/build/Data/Text/Extra.o, dist-ghc/build/Data/Text/Extra.dyn_o ) [ 17 of 111] Compiling Clash.Netlist.Id.Common ( src/Clash/Netlist/Id/Common.hs, dist-ghc/build/Clash/Netlist/Id/Common.o, dist-ghc/build/Clash/Netlist/Id/Common.dyn_o ) [ 18 of 111] Compiling Data.Text.Prettyprint.Doc.Extra ( src/Data/Text/Prettyprint/Doc/Extra.hs, dist-ghc/build/Data/Text/Prettyprint/Doc/Extra.o, dist-ghc/build/Data/Text/Prettyprint/Doc/Extra.dyn_o ) [ 19 of 111] Compiling GHC.BasicTypes.Extra ( src/GHC/BasicTypes/Extra.hs, dist-ghc/build/GHC/BasicTypes/Extra.o, dist-ghc/build/GHC/BasicTypes/Extra.dyn_o ) [ 20 of 111] Compiling GHC.SrcLoc.Extra ( src/GHC/SrcLoc/Extra.hs, dist-ghc/build/GHC/SrcLoc/Extra.o, dist-ghc/build/GHC/SrcLoc/Extra.dyn_o ) [ 21 of 111] Compiling Clash.Core.Name ( src/Clash/Core/Name.hs, dist-ghc/build/Clash/Core/Name.o, dist-ghc/build/Clash/Core/Name.dyn_o ) [ 22 of 111] Compiling Clash.Core.TyCon[boot] ( src/Clash/Core/TyCon.hs-boot, dist-ghc/build/Clash/Core/TyCon.o-boot, dist-ghc/build/Clash/Core/TyCon.dyn_o ) [ 23 of 111] Compiling Clash.Core.Type[boot] ( src/Clash/Core/Type.hs-boot, dist-ghc/build/Clash/Core/Type.o-boot, dist-ghc/build/Clash/Core/Type.dyn_o ) [ 24 of 111] Compiling Clash.Core.Term[boot] ( src/Clash/Core/Term.hs-boot, dist-ghc/build/Clash/Core/Term.o-boot, dist-ghc/build/Clash/Core/Term.dyn_o ) [ 25 of 111] Compiling Clash.Core.Var ( src/Clash/Core/Var.hs, dist-ghc/build/Clash/Core/Var.o, dist-ghc/build/Clash/Core/Var.dyn_o ) [ 26 of 111] Compiling Clash.Core.Subst[boot] ( src/Clash/Core/Subst.hs-boot, dist-ghc/build/Clash/Core/Subst.o-boot, dist-ghc/build/Clash/Core/Subst.dyn_o ) [ 27 of 111] Compiling Clash.Core.DataCon ( src/Clash/Core/DataCon.hs, dist-ghc/build/Clash/Core/DataCon.o, dist-ghc/build/Clash/Core/DataCon.dyn_o ) [ 28 of 111] Compiling Clash.Core.TyCon ( src/Clash/Core/TyCon.hs, dist-ghc/build/Clash/Core/TyCon.o, dist-ghc/build/Clash/Core/TyCon.dyn_o ) [ 29 of 111] Compiling Paths_clash_lib ( dist-ghc/build/autogen/Paths_clash_lib.hs, dist-ghc/build/Paths_clash_lib.o, dist-ghc/build/Paths_clash_lib.dyn_o ) [ 30 of 111] Compiling Clash.Util ( src/Clash/Util.hs, dist-ghc/build/Clash/Util.o, dist-ghc/build/Clash/Util.dyn_o ) [ 31 of 111] Compiling Data.Aeson.Extra ( src/Data/Aeson/Extra.hs, dist-ghc/build/Data/Aeson/Extra.o, dist-ghc/build/Data/Aeson/Extra.dyn_o ) [ 32 of 111] Compiling Clash.Core.Type ( src/Clash/Core/Type.hs, dist-ghc/build/Clash/Core/Type.o, dist-ghc/build/Clash/Core/Type.dyn_o ) [ 33 of 111] Compiling Clash.Core.TysPrim ( src/Clash/Core/TysPrim.hs, dist-ghc/build/Clash/Core/TysPrim.o, dist-ghc/build/Clash/Core/TysPrim.dyn_o ) [ 34 of 111] Compiling Clash.Core.Term ( src/Clash/Core/Term.hs, dist-ghc/build/Clash/Core/Term.o, dist-ghc/build/Clash/Core/Term.dyn_o ) [ 35 of 111] Compiling Clash.Netlist.BlackBox.Types ( src/Clash/Netlist/BlackBox/Types.hs, dist-ghc/build/Clash/Netlist/BlackBox/Types.o, dist-ghc/build/Clash/Netlist/BlackBox/Types.dyn_o ) [ 36 of 111] Compiling Clash.Primitives.Types ( src/Clash/Primitives/Types.hs, dist-ghc/build/Clash/Primitives/Types.o, dist-ghc/build/Clash/Primitives/Types.dyn_o ) [ 37 of 111] Compiling Clash.Netlist.BlackBox.Parser ( src/Clash/Netlist/BlackBox/Parser.hs, dist-ghc/build/Clash/Netlist/BlackBox/Parser.o, dist-ghc/build/Clash/Netlist/BlackBox/Parser.dyn_o ) [ 38 of 111] Compiling Clash.Core.Pretty ( src/Clash/Core/Pretty.hs, dist-ghc/build/Clash/Core/Pretty.o, dist-ghc/build/Clash/Core/Pretty.dyn_o ) [ 39 of 111] Compiling Clash.Core.VarEnv ( src/Clash/Core/VarEnv.hs, dist-ghc/build/Clash/Core/VarEnv.o, dist-ghc/build/Clash/Core/VarEnv.dyn_o ) [ 40 of 111] Compiling Clash.Driver.Types ( src/Clash/Driver/Types.hs, dist-ghc/build/Clash/Driver/Types.o, dist-ghc/build/Clash/Driver/Types.dyn_o ) [ 41 of 111] Compiling Clash.Core.FreeVars ( src/Clash/Core/FreeVars.hs, dist-ghc/build/Clash/Core/FreeVars.o, dist-ghc/build/Clash/Core/FreeVars.dyn_o ) [ 42 of 111] Compiling Clash.Core.HasFreeVars ( src/Clash/Core/HasFreeVars.hs, dist-ghc/build/Clash/Core/HasFreeVars.o, dist-ghc/build/Clash/Core/HasFreeVars.dyn_o ) [ 43 of 111] Compiling Clash.Core.EqSolver ( src/Clash/Core/EqSolver.hs, dist-ghc/build/Clash/Core/EqSolver.o, dist-ghc/build/Clash/Core/EqSolver.dyn_o ) [ 44 of 111] Compiling Clash.Core.Subst ( src/Clash/Core/Subst.hs, dist-ghc/build/Clash/Core/Subst.o, dist-ghc/build/Clash/Core/Subst.dyn_o ) [ 45 of 111] Compiling Clash.Core.TermLiteral.TH ( src/Clash/Core/TermLiteral/TH.hs, dist-ghc/build/Clash/Core/TermLiteral/TH.o, dist-ghc/build/Clash/Core/TermLiteral/TH.dyn_o ) [ 46 of 111] Compiling Clash.Core.TermLiteral ( src/Clash/Core/TermLiteral.hs, dist-ghc/build/Clash/Core/TermLiteral.o, dist-ghc/build/Clash/Core/TermLiteral.dyn_o ) [ 47 of 111] Compiling Clash.Core.HasType ( src/Clash/Core/HasType.hs, dist-ghc/build/Clash/Core/HasType.o, dist-ghc/build/Clash/Core/HasType.dyn_o ) [ 48 of 111] Compiling Clash.Core.Util ( src/Clash/Core/Util.hs, dist-ghc/build/Clash/Core/Util.o, dist-ghc/build/Clash/Core/Util.dyn_o ) [ 49 of 111] Compiling Clash.Normalize.Primitives ( src/Clash/Normalize/Primitives.hs, dist-ghc/build/Clash/Normalize/Primitives.o, dist-ghc/build/Clash/Normalize/Primitives.dyn_o ) [ 50 of 111] Compiling Clash.Rewrite.WorkFree ( src/Clash/Rewrite/WorkFree.hs, dist-ghc/build/Clash/Rewrite/WorkFree.o, dist-ghc/build/Clash/Rewrite/WorkFree.dyn_o ) [ 51 of 111] Compiling Clash.Core.PartialEval.NormalForm ( src/Clash/Core/PartialEval/NormalForm.hs, dist-ghc/build/Clash/Core/PartialEval/NormalForm.o, dist-ghc/build/Clash/Core/PartialEval/NormalForm.dyn_o ) [ 52 of 111] Compiling Clash.Core.PartialEval.AsTerm ( src/Clash/Core/PartialEval/AsTerm.hs, dist-ghc/build/Clash/Core/PartialEval/AsTerm.o, dist-ghc/build/Clash/Core/PartialEval/AsTerm.dyn_o ) [ 53 of 111] Compiling Clash.Core.PartialEval.Monad ( src/Clash/Core/PartialEval/Monad.hs, dist-ghc/build/Clash/Core/PartialEval/Monad.o, dist-ghc/build/Clash/Core/PartialEval/Monad.dyn_o ) [ 54 of 111] Compiling Clash.Core.PartialEval ( src/Clash/Core/PartialEval.hs, dist-ghc/build/Clash/Core/PartialEval.o, dist-ghc/build/Clash/Core/PartialEval.dyn_o ) [ 55 of 111] Compiling Clash.Core.TermInfo ( src/Clash/Core/TermInfo.hs, dist-ghc/build/Clash/Core/TermInfo.o, dist-ghc/build/Clash/Core/TermInfo.dyn_o ) [ 56 of 111] Compiling Clash.Core.Evaluator.Types ( src/Clash/Core/Evaluator/Types.hs, dist-ghc/build/Clash/Core/Evaluator/Types.o, dist-ghc/build/Clash/Core/Evaluator/Types.dyn_o ) [ 57 of 111] Compiling Clash.Backend ( src/Clash/Backend.hs, dist-ghc/build/Clash/Backend.o, dist-ghc/build/Clash/Backend.dyn_o ) [ 58 of 111] Compiling Clash.Netlist.Types ( src/Clash/Netlist/Types.hs, dist-ghc/build/Clash/Netlist/Types.o, dist-ghc/build/Clash/Netlist/Types.dyn_o ) [ 59 of 111] Compiling Clash.Verification.Pretty ( src/Clash/Verification/Pretty.hs, dist-ghc/build/Clash/Verification/Pretty.o, dist-ghc/build/Clash/Verification/Pretty.dyn_o ) [ 60 of 111] Compiling Clash.Rewrite.Types ( src/Clash/Rewrite/Types.hs, dist-ghc/build/Clash/Rewrite/Types.o, dist-ghc/build/Clash/Rewrite/Types.dyn_o ) [ 61 of 111] Compiling Clash.Rewrite.Combinators ( src/Clash/Rewrite/Combinators.hs, dist-ghc/build/Clash/Rewrite/Combinators.o, dist-ghc/build/Clash/Rewrite/Combinators.dyn_o ) [ 62 of 111] Compiling Clash.Normalize.Types ( src/Clash/Normalize/Types.hs, dist-ghc/build/Clash/Normalize/Types.o, dist-ghc/build/Clash/Normalize/Types.dyn_o ) [ 63 of 111] Compiling Clash.Normalize.Strategy[boot] ( src/Clash/Normalize/Strategy.hs-boot, dist-ghc/build/Clash/Normalize/Strategy.o-boot, dist-ghc/build/Clash/Normalize/Strategy.dyn_o ) [ 64 of 111] Compiling Clash.Primitives.Sized.ToInteger ( src/Clash/Primitives/Sized/ToInteger.hs, dist-ghc/build/Clash/Primitives/Sized/ToInteger.o, dist-ghc/build/Clash/Primitives/Sized/ToInteger.dyn_o ) [ 65 of 111] Compiling Clash.Primitives.Sized.Signed ( src/Clash/Primitives/Sized/Signed.hs, dist-ghc/build/Clash/Primitives/Sized/Signed.o, dist-ghc/build/Clash/Primitives/Sized/Signed.dyn_o ) [ 66 of 111] Compiling Clash.Primitives.GHC.Literal ( src/Clash/Primitives/GHC/Literal.hs, dist-ghc/build/Clash/Primitives/GHC/Literal.o, dist-ghc/build/Clash/Primitives/GHC/Literal.dyn_o ) [ 67 of 111] Compiling Clash.Primitives.GHC.Word ( src/Clash/Primitives/GHC/Word.hs, dist-ghc/build/Clash/Primitives/GHC/Word.o, dist-ghc/build/Clash/Primitives/GHC/Word.dyn_o ) [ 68 of 111] Compiling Clash.Primitives.GHC.Int ( src/Clash/Primitives/GHC/Int.hs, dist-ghc/build/Clash/Primitives/GHC/Int.o, dist-ghc/build/Clash/Primitives/GHC/Int.dyn_o ) [ 69 of 111] Compiling Clash.Netlist.Id.Verilog ( src/Clash/Netlist/Id/Verilog.hs, dist-ghc/build/Clash/Netlist/Id/Verilog.o, dist-ghc/build/Clash/Netlist/Id/Verilog.dyn_o ) [ 70 of 111] Compiling Clash.Netlist.Id.VHDL ( src/Clash/Netlist/Id/VHDL.hs, dist-ghc/build/Clash/Netlist/Id/VHDL.o, dist-ghc/build/Clash/Netlist/Id/VHDL.dyn_o ) [ 71 of 111] Compiling Clash.Netlist.Id.SystemVerilog ( src/Clash/Netlist/Id/SystemVerilog.hs, dist-ghc/build/Clash/Netlist/Id/SystemVerilog.o, dist-ghc/build/Clash/Netlist/Id/SystemVerilog.dyn_o ) [ 72 of 111] Compiling Clash.Netlist.Id.Internal ( src/Clash/Netlist/Id/Internal.hs, dist-ghc/build/Clash/Netlist/Id/Internal.o, dist-ghc/build/Clash/Netlist/Id/Internal.dyn_o ) [ 73 of 111] Compiling Clash.Netlist.Id ( src/Clash/Netlist/Id.hs, dist-ghc/build/Clash/Netlist/Id.o, dist-ghc/build/Clash/Netlist/Id.dyn_o ) [ 74 of 111] Compiling Clash.Netlist.BlackBox[boot] ( src/Clash/Netlist/BlackBox.hs-boot, dist-ghc/build/Clash/Netlist/BlackBox.o-boot, dist-ghc/build/Clash/Netlist/BlackBox.dyn_o ) [ 75 of 111] Compiling Clash.Netlist[boot] ( src/Clash/Netlist.hs-boot, dist-ghc/build/Clash/Netlist.o-boot, dist-ghc/build/Clash/Netlist.dyn_o ) [ 76 of 111] Compiling Clash.Annotations.TopEntity.Extra ( src/Clash/Annotations/TopEntity/Extra.hs, dist-ghc/build/Clash/Annotations/TopEntity/Extra.o, dist-ghc/build/Clash/Annotations/TopEntity/Extra.dyn_o ) [ 77 of 111] Compiling Clash.Annotations.BitRepresentation.ClashLib ( src/Clash/Annotations/BitRepresentation/ClashLib.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/ClashLib.o, dist-ghc/build/Clash/Annotations/BitRepresentation/ClashLib.dyn_o ) [ 78 of 111] Compiling Clash.Netlist.BlackBox.Util[boot] ( src/Clash/Netlist/BlackBox/Util.hs-boot, dist-ghc/build/Clash/Netlist/BlackBox/Util.o-boot, dist-ghc/build/Clash/Netlist/BlackBox/Util.dyn_o ) [ 79 of 111] Compiling Clash.Netlist.Util ( src/Clash/Netlist/Util.hs, dist-ghc/build/Clash/Netlist/Util.o, dist-ghc/build/Clash/Netlist/Util.dyn_o ) [ 80 of 111] Compiling Clash.Rewrite.Util ( src/Clash/Rewrite/Util.hs, dist-ghc/build/Clash/Rewrite/Util.o, dist-ghc/build/Clash/Rewrite/Util.dyn_o ) [ 81 of 111] Compiling Clash.Normalize.Transformations.XOptimize ( src/Clash/Normalize/Transformations/XOptimize.hs, dist-ghc/build/Clash/Normalize/Transformations/XOptimize.o, dist-ghc/build/Clash/Normalize/Transformations/XOptimize.dyn_o ) [ 82 of 111] Compiling Clash.Normalize.Transformations.SeparateArgs ( src/Clash/Normalize/Transformations/SeparateArgs.hs, dist-ghc/build/Clash/Normalize/Transformations/SeparateArgs.o, dist-ghc/build/Clash/Normalize/Transformations/SeparateArgs.dyn_o ) [ 83 of 111] Compiling Clash.Normalize.Transformations.MultiPrim ( src/Clash/Normalize/Transformations/MultiPrim.hs, dist-ghc/build/Clash/Normalize/Transformations/MultiPrim.o, dist-ghc/build/Clash/Normalize/Transformations/MultiPrim.dyn_o ) [ 84 of 111] Compiling Clash.Normalize.Transformations.EtaExpand ( src/Clash/Normalize/Transformations/EtaExpand.hs, dist-ghc/build/Clash/Normalize/Transformations/EtaExpand.o, dist-ghc/build/Clash/Normalize/Transformations/EtaExpand.dyn_o ) [ 85 of 111] Compiling Clash.Normalize.PrimitiveReductions ( src/Clash/Normalize/PrimitiveReductions.hs, dist-ghc/build/Clash/Normalize/PrimitiveReductions.o, dist-ghc/build/Clash/Normalize/PrimitiveReductions.dyn_o ) [ 86 of 111] Compiling Clash.Normalize.Transformations.Case ( src/Clash/Normalize/Transformations/Case.hs, dist-ghc/build/Clash/Normalize/Transformations/Case.o, dist-ghc/build/Clash/Normalize/Transformations/Case.dyn_o ) [ 87 of 111] Compiling Clash.Netlist.BlackBox.Util ( src/Clash/Netlist/BlackBox/Util.hs, dist-ghc/build/Clash/Netlist/BlackBox/Util.o, dist-ghc/build/Clash/Netlist/BlackBox/Util.dyn_o ) [ 88 of 111] Compiling Clash.Primitives.Util ( src/Clash/Primitives/Util.hs, dist-ghc/build/Clash/Primitives/Util.o, dist-ghc/build/Clash/Primitives/Util.dyn_o ) [ 89 of 111] Compiling Clash.Normalize.Util ( src/Clash/Normalize/Util.hs, dist-ghc/build/Clash/Normalize/Util.o, dist-ghc/build/Clash/Normalize/Util.dyn_o ) [ 90 of 111] Compiling Clash.Util.Graph ( src/Clash/Util/Graph.hs, dist-ghc/build/Clash/Util/Graph.o, dist-ghc/build/Clash/Util/Graph.dyn_o ) [ 91 of 111] Compiling Clash.Normalize.Transformations.Specialize ( src/Clash/Normalize/Transformations/Specialize.hs, dist-ghc/build/Clash/Normalize/Transformations/Specialize.o, dist-ghc/build/Clash/Normalize/Transformations/Specialize.dyn_o ) [ 92 of 111] Compiling Clash.Normalize.Transformations.Cast ( src/Clash/Normalize/Transformations/Cast.hs, dist-ghc/build/Clash/Normalize/Transformations/Cast.o, dist-ghc/build/Clash/Normalize/Transformations/Cast.dyn_o ) [ 93 of 111] Compiling Clash.Normalize.Transformations.ANF ( src/Clash/Normalize/Transformations/ANF.hs, dist-ghc/build/Clash/Normalize/Transformations/ANF.o, dist-ghc/build/Clash/Normalize/Transformations/ANF.dyn_o ) [ 94 of 111] Compiling Clash.Normalize.Transformations.Reduce ( src/Clash/Normalize/Transformations/Reduce.hs, dist-ghc/build/Clash/Normalize/Transformations/Reduce.o, dist-ghc/build/Clash/Normalize/Transformations/Reduce.dyn_o ) [ 95 of 111] Compiling Clash.Normalize.Transformations.Inline ( src/Clash/Normalize/Transformations/Inline.hs, dist-ghc/build/Clash/Normalize/Transformations/Inline.o, dist-ghc/build/Clash/Normalize/Transformations/Inline.dyn_o ) [ 96 of 111] Compiling Clash.Primitives.Intel.ClockGen ( src/Clash/Primitives/Intel/ClockGen.hs, dist-ghc/build/Clash/Primitives/Intel/ClockGen.o, dist-ghc/build/Clash/Primitives/Intel/ClockGen.dyn_o ) [ 97 of 111] Compiling Clash.Normalize.Transformations.Letrec ( src/Clash/Normalize/Transformations/Letrec.hs, dist-ghc/build/Clash/Normalize/Transformations/Letrec.o, dist-ghc/build/Clash/Normalize/Transformations/Letrec.dyn_o ) [ 98 of 111] Compiling Clash.Normalize.Transformations.DEC ( src/Clash/Normalize/Transformations/DEC.hs, dist-ghc/build/Clash/Normalize/Transformations/DEC.o, dist-ghc/build/Clash/Normalize/Transformations/DEC.dyn_o ) [ 99 of 111] Compiling Clash.Normalize.Transformations ( src/Clash/Normalize/Transformations.hs, dist-ghc/build/Clash/Normalize/Transformations.o, dist-ghc/build/Clash/Normalize/Transformations.dyn_o ) [100 of 111] Compiling Clash.Normalize.Strategy ( src/Clash/Normalize/Strategy.hs, dist-ghc/build/Clash/Normalize/Strategy.o, dist-ghc/build/Clash/Normalize/Strategy.dyn_o ) [101 of 111] Compiling Clash.Normalize ( src/Clash/Normalize.hs, dist-ghc/build/Clash/Normalize.o, dist-ghc/build/Clash/Normalize.dyn_o ) [102 of 111] Compiling Clash.Netlist.BlackBox ( src/Clash/Netlist/BlackBox.hs, dist-ghc/build/Clash/Netlist/BlackBox.o, dist-ghc/build/Clash/Netlist/BlackBox.dyn_o ) [103 of 111] Compiling Clash.Netlist ( src/Clash/Netlist.hs, dist-ghc/build/Clash/Netlist.o, dist-ghc/build/Clash/Netlist.dyn_o ) [104 of 111] Compiling Clash.Primitives.Verification ( src/Clash/Primitives/Verification.hs, dist-ghc/build/Clash/Primitives/Verification.o, dist-ghc/build/Clash/Primitives/Verification.dyn_o ) [105 of 111] Compiling Clash.Driver.Manifest ( src/Clash/Driver/Manifest.hs, dist-ghc/build/Clash/Driver/Manifest.o, dist-ghc/build/Clash/Driver/Manifest.dyn_o ) [106 of 111] Compiling Clash.Backend.Verilog ( src/Clash/Backend/Verilog.hs, dist-ghc/build/Clash/Backend/Verilog.o, dist-ghc/build/Clash/Backend/Verilog.dyn_o ) [107 of 111] Compiling Clash.Backend.VHDL ( src/Clash/Backend/VHDL.hs, dist-ghc/build/Clash/Backend/VHDL.o, dist-ghc/build/Clash/Backend/VHDL.dyn_o ) [108 of 111] Compiling Clash.Primitives.DSL ( src/Clash/Primitives/DSL.hs, dist-ghc/build/Clash/Primitives/DSL.o, dist-ghc/build/Clash/Primitives/DSL.dyn_o ) [109 of 111] Compiling Clash.Primitives.Sized.Vector ( src/Clash/Primitives/Sized/Vector.hs, dist-ghc/build/Clash/Primitives/Sized/Vector.o, dist-ghc/build/Clash/Primitives/Sized/Vector.dyn_o ) [110 of 111] Compiling Clash.Driver ( src/Clash/Driver.hs, dist-ghc/build/Clash/Driver.o, dist-ghc/build/Clash/Driver.dyn_o ) [111 of 111] Compiling Clash.Backend.SystemVerilog ( src/Clash/Backend/SystemVerilog.hs, dist-ghc/build/Clash/Backend/SystemVerilog.o, dist-ghc/build/Clash/Backend/SystemVerilog.dyn_o ) [ 1 of 111] Compiling Clash.Debug ( src/Clash/Debug.hs, dist-ghc/build/Clash/Debug.p_o ) [ 2 of 111] Compiling Clash.Edalize.Edam ( src/Clash/Edalize/Edam.hs, dist-ghc/build/Clash/Edalize/Edam.p_o ) [ 3 of 111] Compiling Clash.Netlist.Types[boot] ( src/Clash/Netlist/Types.hs-boot, dist-ghc/build/Clash/Netlist/Types.p_o-boot ) [ 4 of 111] Compiling Clash.Netlist.Id[boot] ( src/Clash/Netlist/Id.hs-boot, dist-ghc/build/Clash/Netlist/Id.p_o-boot ) [ 5 of 111] Compiling Clash.Util.Eq ( src/Clash/Util/Eq.hs, dist-ghc/build/Clash/Util/Eq.p_o ) [ 6 of 111] Compiling Clash.Util.Interpolate ( src/Clash/Util/Interpolate.hs, dist-ghc/build/Clash/Util/Interpolate.p_o ) [ 7 of 111] Compiling Clash.Pretty ( src/Clash/Pretty.hs, dist-ghc/build/Clash/Pretty.p_o ) [ 8 of 111] Compiling Clash.Unique ( src/Clash/Unique.hs, dist-ghc/build/Clash/Unique.p_o ) [ 9 of 111] Compiling Data.IntMap.Extra ( src/Data/IntMap/Extra.hs, dist-ghc/build/Data/IntMap/Extra.p_o ) [ 10 of 111] Compiling Data.List.Extra ( src/Data/List/Extra.hs, dist-ghc/build/Data/List/Extra.p_o ) [ 11 of 111] Compiling Data.Map.Ordered.Extra ( src/Data/Map/Ordered/Extra.hs, dist-ghc/build/Data/Map/Ordered/Extra.p_o ) [ 12 of 111] Compiling Data.Monoid.Extra ( src/Data/Monoid/Extra.hs, dist-ghc/build/Data/Monoid/Extra.p_o ) [ 13 of 111] Compiling Data.Primitive.ByteArray.Extra ( src/Data/Primitive/ByteArray/Extra.hs, dist-ghc/build/Data/Primitive/ByteArray/Extra.p_o ) [ 14 of 111] Compiling Clash.Core.Literal ( src/Clash/Core/Literal.hs, dist-ghc/build/Clash/Core/Literal.p_o ) [ 15 of 111] Compiling Data.Set.Ordered.Extra ( src/Data/Set/Ordered/Extra.hs, dist-ghc/build/Data/Set/Ordered/Extra.p_o ) [ 16 of 111] Compiling Data.Text.Extra ( src/Data/Text/Extra.hs, dist-ghc/build/Data/Text/Extra.p_o ) [ 17 of 111] Compiling Clash.Netlist.Id.Common ( src/Clash/Netlist/Id/Common.hs, dist-ghc/build/Clash/Netlist/Id/Common.p_o ) [ 18 of 111] Compiling Data.Text.Prettyprint.Doc.Extra ( src/Data/Text/Prettyprint/Doc/Extra.hs, dist-ghc/build/Data/Text/Prettyprint/Doc/Extra.p_o ) [ 19 of 111] Compiling GHC.BasicTypes.Extra ( src/GHC/BasicTypes/Extra.hs, dist-ghc/build/GHC/BasicTypes/Extra.p_o ) [ 20 of 111] Compiling GHC.SrcLoc.Extra ( src/GHC/SrcLoc/Extra.hs, dist-ghc/build/GHC/SrcLoc/Extra.p_o ) [ 21 of 111] Compiling Clash.Core.Name ( src/Clash/Core/Name.hs, dist-ghc/build/Clash/Core/Name.p_o ) [ 22 of 111] Compiling Clash.Core.TyCon[boot] ( src/Clash/Core/TyCon.hs-boot, dist-ghc/build/Clash/Core/TyCon.p_o-boot ) [ 23 of 111] Compiling Clash.Core.Type[boot] ( src/Clash/Core/Type.hs-boot, dist-ghc/build/Clash/Core/Type.p_o-boot ) [ 24 of 111] Compiling Clash.Core.Term[boot] ( src/Clash/Core/Term.hs-boot, dist-ghc/build/Clash/Core/Term.p_o-boot ) [ 25 of 111] Compiling Clash.Core.Var ( src/Clash/Core/Var.hs, dist-ghc/build/Clash/Core/Var.p_o ) [ 26 of 111] Compiling Clash.Core.Subst[boot] ( src/Clash/Core/Subst.hs-boot, dist-ghc/build/Clash/Core/Subst.p_o-boot ) [ 27 of 111] Compiling Clash.Core.DataCon ( src/Clash/Core/DataCon.hs, dist-ghc/build/Clash/Core/DataCon.p_o ) [ 28 of 111] Compiling Clash.Core.TyCon ( src/Clash/Core/TyCon.hs, dist-ghc/build/Clash/Core/TyCon.p_o ) [ 29 of 111] Compiling Paths_clash_lib ( dist-ghc/build/autogen/Paths_clash_lib.hs, dist-ghc/build/Paths_clash_lib.p_o ) [ 30 of 111] Compiling Clash.Util ( src/Clash/Util.hs, dist-ghc/build/Clash/Util.p_o ) [ 31 of 111] Compiling Data.Aeson.Extra ( src/Data/Aeson/Extra.hs, dist-ghc/build/Data/Aeson/Extra.p_o ) [ 32 of 111] Compiling Clash.Core.Type ( src/Clash/Core/Type.hs, dist-ghc/build/Clash/Core/Type.p_o ) [ 33 of 111] Compiling Clash.Core.TysPrim ( src/Clash/Core/TysPrim.hs, dist-ghc/build/Clash/Core/TysPrim.p_o ) [ 34 of 111] Compiling Clash.Core.Term ( src/Clash/Core/Term.hs, dist-ghc/build/Clash/Core/Term.p_o ) [ 35 of 111] Compiling Clash.Netlist.BlackBox.Types ( src/Clash/Netlist/BlackBox/Types.hs, dist-ghc/build/Clash/Netlist/BlackBox/Types.p_o ) [ 36 of 111] Compiling Clash.Primitives.Types ( src/Clash/Primitives/Types.hs, dist-ghc/build/Clash/Primitives/Types.p_o ) [ 37 of 111] Compiling Clash.Netlist.BlackBox.Parser ( src/Clash/Netlist/BlackBox/Parser.hs, dist-ghc/build/Clash/Netlist/BlackBox/Parser.p_o ) [ 38 of 111] Compiling Clash.Core.Pretty ( src/Clash/Core/Pretty.hs, dist-ghc/build/Clash/Core/Pretty.p_o ) [ 39 of 111] Compiling Clash.Core.VarEnv ( src/Clash/Core/VarEnv.hs, dist-ghc/build/Clash/Core/VarEnv.p_o ) [ 40 of 111] Compiling Clash.Driver.Types ( src/Clash/Driver/Types.hs, dist-ghc/build/Clash/Driver/Types.p_o ) [ 41 of 111] Compiling Clash.Core.FreeVars ( src/Clash/Core/FreeVars.hs, dist-ghc/build/Clash/Core/FreeVars.p_o ) [ 42 of 111] Compiling Clash.Core.HasFreeVars ( src/Clash/Core/HasFreeVars.hs, dist-ghc/build/Clash/Core/HasFreeVars.p_o ) [ 43 of 111] Compiling Clash.Core.EqSolver ( src/Clash/Core/EqSolver.hs, dist-ghc/build/Clash/Core/EqSolver.p_o ) [ 44 of 111] Compiling Clash.Core.Subst ( src/Clash/Core/Subst.hs, dist-ghc/build/Clash/Core/Subst.p_o ) [ 45 of 111] Compiling Clash.Core.TermLiteral.TH ( src/Clash/Core/TermLiteral/TH.hs, dist-ghc/build/Clash/Core/TermLiteral/TH.p_o ) [ 46 of 111] Compiling Clash.Core.TermLiteral ( src/Clash/Core/TermLiteral.hs, dist-ghc/build/Clash/Core/TermLiteral.p_o ) [ 47 of 111] Compiling Clash.Core.HasType ( src/Clash/Core/HasType.hs, dist-ghc/build/Clash/Core/HasType.p_o ) [ 48 of 111] Compiling Clash.Core.Util ( src/Clash/Core/Util.hs, dist-ghc/build/Clash/Core/Util.p_o ) [ 49 of 111] Compiling Clash.Normalize.Primitives ( src/Clash/Normalize/Primitives.hs, dist-ghc/build/Clash/Normalize/Primitives.p_o ) [ 50 of 111] Compiling Clash.Rewrite.WorkFree ( src/Clash/Rewrite/WorkFree.hs, dist-ghc/build/Clash/Rewrite/WorkFree.p_o ) [ 51 of 111] Compiling Clash.Core.PartialEval.NormalForm ( src/Clash/Core/PartialEval/NormalForm.hs, dist-ghc/build/Clash/Core/PartialEval/NormalForm.p_o ) [ 52 of 111] Compiling Clash.Core.PartialEval.AsTerm ( src/Clash/Core/PartialEval/AsTerm.hs, dist-ghc/build/Clash/Core/PartialEval/AsTerm.p_o ) [ 53 of 111] Compiling Clash.Core.PartialEval.Monad ( src/Clash/Core/PartialEval/Monad.hs, dist-ghc/build/Clash/Core/PartialEval/Monad.p_o ) [ 54 of 111] Compiling Clash.Core.PartialEval ( src/Clash/Core/PartialEval.hs, dist-ghc/build/Clash/Core/PartialEval.p_o ) [ 55 of 111] Compiling Clash.Core.TermInfo ( src/Clash/Core/TermInfo.hs, dist-ghc/build/Clash/Core/TermInfo.p_o ) [ 56 of 111] Compiling Clash.Core.Evaluator.Types ( src/Clash/Core/Evaluator/Types.hs, dist-ghc/build/Clash/Core/Evaluator/Types.p_o ) [ 57 of 111] Compiling Clash.Backend ( src/Clash/Backend.hs, dist-ghc/build/Clash/Backend.p_o ) [ 58 of 111] Compiling Clash.Netlist.Types ( src/Clash/Netlist/Types.hs, dist-ghc/build/Clash/Netlist/Types.p_o ) [ 59 of 111] Compiling Clash.Verification.Pretty ( src/Clash/Verification/Pretty.hs, dist-ghc/build/Clash/Verification/Pretty.p_o ) [ 60 of 111] Compiling Clash.Rewrite.Types ( src/Clash/Rewrite/Types.hs, dist-ghc/build/Clash/Rewrite/Types.p_o ) [ 61 of 111] Compiling Clash.Rewrite.Combinators ( src/Clash/Rewrite/Combinators.hs, dist-ghc/build/Clash/Rewrite/Combinators.p_o ) [ 62 of 111] Compiling Clash.Normalize.Types ( src/Clash/Normalize/Types.hs, dist-ghc/build/Clash/Normalize/Types.p_o ) [ 63 of 111] Compiling Clash.Normalize.Strategy[boot] ( src/Clash/Normalize/Strategy.hs-boot, dist-ghc/build/Clash/Normalize/Strategy.p_o-boot ) [ 64 of 111] Compiling Clash.Primitives.Sized.ToInteger ( src/Clash/Primitives/Sized/ToInteger.hs, dist-ghc/build/Clash/Primitives/Sized/ToInteger.p_o ) [ 65 of 111] Compiling Clash.Primitives.Sized.Signed ( src/Clash/Primitives/Sized/Signed.hs, dist-ghc/build/Clash/Primitives/Sized/Signed.p_o ) [ 66 of 111] Compiling Clash.Primitives.GHC.Literal ( src/Clash/Primitives/GHC/Literal.hs, dist-ghc/build/Clash/Primitives/GHC/Literal.p_o ) [ 67 of 111] Compiling Clash.Primitives.GHC.Word ( src/Clash/Primitives/GHC/Word.hs, dist-ghc/build/Clash/Primitives/GHC/Word.p_o ) [ 68 of 111] Compiling Clash.Primitives.GHC.Int ( src/Clash/Primitives/GHC/Int.hs, dist-ghc/build/Clash/Primitives/GHC/Int.p_o ) [ 69 of 111] Compiling Clash.Netlist.Id.Verilog ( src/Clash/Netlist/Id/Verilog.hs, dist-ghc/build/Clash/Netlist/Id/Verilog.p_o ) [ 70 of 111] Compiling Clash.Netlist.Id.VHDL ( src/Clash/Netlist/Id/VHDL.hs, dist-ghc/build/Clash/Netlist/Id/VHDL.p_o ) [ 71 of 111] Compiling Clash.Netlist.Id.SystemVerilog ( src/Clash/Netlist/Id/SystemVerilog.hs, dist-ghc/build/Clash/Netlist/Id/SystemVerilog.p_o ) [ 72 of 111] Compiling Clash.Netlist.Id.Internal ( src/Clash/Netlist/Id/Internal.hs, dist-ghc/build/Clash/Netlist/Id/Internal.p_o ) [ 73 of 111] Compiling Clash.Netlist.Id ( src/Clash/Netlist/Id.hs, dist-ghc/build/Clash/Netlist/Id.p_o ) [ 74 of 111] Compiling Clash.Netlist.BlackBox[boot] ( src/Clash/Netlist/BlackBox.hs-boot, dist-ghc/build/Clash/Netlist/BlackBox.p_o-boot ) [ 75 of 111] Compiling Clash.Netlist[boot] ( src/Clash/Netlist.hs-boot, dist-ghc/build/Clash/Netlist.p_o-boot ) [ 76 of 111] Compiling Clash.Annotations.TopEntity.Extra ( src/Clash/Annotations/TopEntity/Extra.hs, dist-ghc/build/Clash/Annotations/TopEntity/Extra.p_o ) [ 77 of 111] Compiling Clash.Annotations.BitRepresentation.ClashLib ( src/Clash/Annotations/BitRepresentation/ClashLib.hs, dist-ghc/build/Clash/Annotations/BitRepresentation/ClashLib.p_o ) [ 78 of 111] Compiling Clash.Netlist.BlackBox.Util[boot] ( src/Clash/Netlist/BlackBox/Util.hs-boot, dist-ghc/build/Clash/Netlist/BlackBox/Util.p_o-boot ) [ 79 of 111] Compiling Clash.Netlist.Util ( src/Clash/Netlist/Util.hs, dist-ghc/build/Clash/Netlist/Util.p_o ) [ 80 of 111] Compiling Clash.Rewrite.Util ( src/Clash/Rewrite/Util.hs, dist-ghc/build/Clash/Rewrite/Util.p_o ) [ 81 of 111] Compiling Clash.Normalize.Transformations.XOptimize ( src/Clash/Normalize/Transformations/XOptimize.hs, dist-ghc/build/Clash/Normalize/Transformations/XOptimize.p_o ) [ 82 of 111] Compiling Clash.Normalize.Transformations.SeparateArgs ( src/Clash/Normalize/Transformations/SeparateArgs.hs, dist-ghc/build/Clash/Normalize/Transformations/SeparateArgs.p_o ) [ 83 of 111] Compiling Clash.Normalize.Transformations.MultiPrim ( src/Clash/Normalize/Transformations/MultiPrim.hs, dist-ghc/build/Clash/Normalize/Transformations/MultiPrim.p_o ) [ 84 of 111] Compiling Clash.Normalize.Transformations.EtaExpand ( src/Clash/Normalize/Transformations/EtaExpand.hs, dist-ghc/build/Clash/Normalize/Transformations/EtaExpand.p_o ) [ 85 of 111] Compiling Clash.Normalize.PrimitiveReductions ( src/Clash/Normalize/PrimitiveReductions.hs, dist-ghc/build/Clash/Normalize/PrimitiveReductions.p_o ) [ 86 of 111] Compiling Clash.Normalize.Transformations.Case ( src/Clash/Normalize/Transformations/Case.hs, dist-ghc/build/Clash/Normalize/Transformations/Case.p_o ) [ 87 of 111] Compiling Clash.Netlist.BlackBox.Util ( src/Clash/Netlist/BlackBox/Util.hs, dist-ghc/build/Clash/Netlist/BlackBox/Util.p_o ) [ 88 of 111] Compiling Clash.Primitives.Util ( src/Clash/Primitives/Util.hs, dist-ghc/build/Clash/Primitives/Util.p_o ) [ 89 of 111] Compiling Clash.Normalize.Util ( src/Clash/Normalize/Util.hs, dist-ghc/build/Clash/Normalize/Util.p_o ) [ 90 of 111] Compiling Clash.Util.Graph ( src/Clash/Util/Graph.hs, dist-ghc/build/Clash/Util/Graph.p_o ) [ 91 of 111] Compiling Clash.Normalize.Transformations.Specialize ( src/Clash/Normalize/Transformations/Specialize.hs, dist-ghc/build/Clash/Normalize/Transformations/Specialize.p_o ) [ 92 of 111] Compiling Clash.Normalize.Transformations.Cast ( src/Clash/Normalize/Transformations/Cast.hs, dist-ghc/build/Clash/Normalize/Transformations/Cast.p_o ) [ 93 of 111] Compiling Clash.Normalize.Transformations.ANF ( src/Clash/Normalize/Transformations/ANF.hs, dist-ghc/build/Clash/Normalize/Transformations/ANF.p_o ) [ 94 of 111] Compiling Clash.Normalize.Transformations.Reduce ( src/Clash/Normalize/Transformations/Reduce.hs, dist-ghc/build/Clash/Normalize/Transformations/Reduce.p_o ) [ 95 of 111] Compiling Clash.Normalize.Transformations.Inline ( src/Clash/Normalize/Transformations/Inline.hs, dist-ghc/build/Clash/Normalize/Transformations/Inline.p_o ) [ 96 of 111] Compiling Clash.Primitives.Intel.ClockGen ( src/Clash/Primitives/Intel/ClockGen.hs, dist-ghc/build/Clash/Primitives/Intel/ClockGen.p_o ) [ 97 of 111] Compiling Clash.Normalize.Transformations.Letrec ( src/Clash/Normalize/Transformations/Letrec.hs, dist-ghc/build/Clash/Normalize/Transformations/Letrec.p_o ) [ 98 of 111] Compiling Clash.Normalize.Transformations.DEC ( src/Clash/Normalize/Transformations/DEC.hs, dist-ghc/build/Clash/Normalize/Transformations/DEC.p_o ) [ 99 of 111] Compiling Clash.Normalize.Transformations ( src/Clash/Normalize/Transformations.hs, dist-ghc/build/Clash/Normalize/Transformations.p_o ) [100 of 111] Compiling Clash.Normalize.Strategy ( src/Clash/Normalize/Strategy.hs, dist-ghc/build/Clash/Normalize/Strategy.p_o ) [101 of 111] Compiling Clash.Normalize ( src/Clash/Normalize.hs, dist-ghc/build/Clash/Normalize.p_o ) [102 of 111] Compiling Clash.Netlist.BlackBox ( src/Clash/Netlist/BlackBox.hs, dist-ghc/build/Clash/Netlist/BlackBox.p_o ) [103 of 111] Compiling Clash.Netlist ( src/Clash/Netlist.hs, dist-ghc/build/Clash/Netlist.p_o ) [104 of 111] Compiling Clash.Primitives.Verification ( src/Clash/Primitives/Verification.hs, dist-ghc/build/Clash/Primitives/Verification.p_o ) [105 of 111] Compiling Clash.Driver.Manifest ( src/Clash/Driver/Manifest.hs, dist-ghc/build/Clash/Driver/Manifest.p_o ) [106 of 111] Compiling Clash.Backend.Verilog ( src/Clash/Backend/Verilog.hs, dist-ghc/build/Clash/Backend/Verilog.p_o ) [107 of 111] Compiling Clash.Backend.VHDL ( src/Clash/Backend/VHDL.hs, dist-ghc/build/Clash/Backend/VHDL.p_o ) [108 of 111] Compiling Clash.Primitives.DSL ( src/Clash/Primitives/DSL.hs, dist-ghc/build/Clash/Primitives/DSL.p_o ) [109 of 111] Compiling Clash.Primitives.Sized.Vector ( src/Clash/Primitives/Sized/Vector.hs, dist-ghc/build/Clash/Primitives/Sized/Vector.p_o ) [110 of 111] Compiling Clash.Driver ( src/Clash/Driver.hs, dist-ghc/build/Clash/Driver.p_o ) [111 of 111] Compiling Clash.Backend.SystemVerilog ( src/Clash/Backend/SystemVerilog.hs, dist-ghc/build/Clash/Backend/SystemVerilog.p_o ) Preprocessing test suite 'unittests' for clash-lib-1.6.4.. Building test suite 'unittests' for clash-lib-1.6.4.. [1 of 8] Compiling Clash.Tests.Core.FreeVars ( tests/Clash/Tests/Core/FreeVars.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Core/FreeVars.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Core/FreeVars.dyn_o ) [2 of 8] Compiling Clash.Tests.Core.Subst ( tests/Clash/Tests/Core/Subst.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Core/Subst.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Core/Subst.dyn_o ) [3 of 8] Compiling Clash.Tests.Driver.Manifest ( tests/Clash/Tests/Driver/Manifest.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Driver/Manifest.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Driver/Manifest.dyn_o ) [4 of 8] Compiling Clash.Tests.Netlist.Id ( tests/Clash/Tests/Netlist/Id.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Netlist/Id.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Netlist/Id.dyn_o ) [5 of 8] Compiling Clash.Tests.Util.Interpolate ( tests/Clash/Tests/Util/Interpolate.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Util/Interpolate.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Util/Interpolate.dyn_o ) [6 of 8] Compiling Test.Clash.Rewrite ( tests/Test/Clash/Rewrite.hs, dist-ghc/build/unittests/unittests-tmp/Test/Clash/Rewrite.o, dist-ghc/build/unittests/unittests-tmp/Test/Clash/Rewrite.dyn_o ) [7 of 8] Compiling Clash.Tests.Normalize.Transformations ( tests/Clash/Tests/Normalize/Transformations.hs, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Normalize/Transformations.o, dist-ghc/build/unittests/unittests-tmp/Clash/Tests/Normalize/Transformations.dyn_o ) [8 of 8] Compiling Main ( tests/unittests.hs, dist-ghc/build/unittests/unittests-tmp/Main.o, dist-ghc/build/unittests/unittests-tmp/Main.dyn_o ) Linking dist-ghc/build/unittests/unittests ... Preprocessing executable 'v16-upgrade-primitives' for clash-lib-1.6.4.. Building executable 'v16-upgrade-primitives' for clash-lib-1.6.4.. [1 of 1] Compiling Main ( tools/v16-upgrade-primitives.hs, dist-ghc/build/v16-upgrade-primitives/v16-upgrade-primitives-tmp/Main.o ) Linking dist-ghc/build/v16-upgrade-primitives/v16-upgrade-primitives ... touch build-ghc-stamp fakeroot debian/rules binary test -x debian/rules dh_testroot dh_prep dh_installdirs -A mkdir -p "." CDBS WARNING: DEB_DH_STRIP_ARGS is deprecated since 0.4.85 CDBS WARNING: DEB_COMPRESS_EXCLUDE is deprecated since 0.4.85 Adding cdbs dependencies to debian/libghc-clash-lib-doc.substvars dh_installdirs -plibghc-clash-lib-doc \ perl -d:Confess -MDebian::Debhelper::Buildsystem::Haskell::Recipes=/.*/ \ -E 'check_recipe' Running dh_listpackages libghc-clash-lib-dev libghc-clash-lib-prof libghc-clash-lib-doc haskell-clash-lib-utils Running 1 test suites... Test suite unittests: RUNNING... Unittests Clash.Tests.Core.FreeVars globalIds1: OK globalIds2: OK Clash.Tests.Core.Subst deShadow type/term: OK Clash.Tests.Driver.Manifest decode . encode ~ id: OK (1.50s) +++ OK, passed 100 tests. FilesManifest can decode encoded Manifest: OK (1.37s) +++ OK, passed 100 tests. Clash.Tests.Core.Util.Interpolation test1: OK test2: OK test3: OK test4: OK test5: OK test6: OK test7: OK test8: OK test9: OK Clash.Tests.Netlist.Id roundTrip: empty id: OK roundTrip: foo_bar: OK roundTrip: foo_1: OK roundTrip: foo_1_2: OK roundTrip: foo_1_2_ab: OK roundTrip: foo_1_ab_2: OK no collisions (one id) SystemVerilog: OK (0.34s) +++ OK, passed 10000 tests. Verilog: OK (0.36s) +++ OK, passed 10000 tests. VHDL: OK (0.39s) +++ OK, passed 10000 tests. no collisions (two ids) SystemVerilog: OK (0.47s) +++ OK, passed 10000 tests. Verilog: OK (0.44s) +++ OK, passed 10000 tests. VHDL: OK (0.51s) IdentifierSet { is_allowEscaped = True , is_lowerCaseBasicIds = PreserveCase , is_hdl = VHDL , is_freshCache = fromList [ ( "led" , fromList [ ( 0 , 0 ) ] ) ] , is_store = fromList [ UniqueIdentifier { i_baseName = "LED" , i_baseNameCaseFold = "led" , i_extensionsRev = [] , i_idType = Basic , i_hdl = VHDL , i_provenance = [] } ] } +++ OK, passed 10000 tests. make0 id0 == foo: OK id1 == foo_0: OK id2 == foo_0_0: OK id3 == foo_0_1: OK id4 == foo_0_0: OK make1 id0 == foo: OK id1 == foo_37: OK id2 == foo_38: OK id3 == foo_3: OK Id.add id1 == led_0: OK case sensitivity id0 == foobar: OK id1 == fOoBAr_0: OK mkBasic SystemVerilog (ascii): OK (0.34s) +++ OK, passed 10000 tests. SystemVerilog (UTF8): OK (0.43s) +++ OK, passed 10000 tests. Verilog (ascii): OK (0.33s) +++ OK, passed 10000 tests. Verilog (UTF8): OK (0.45s) +++ OK, passed 10000 tests. VHDL (ascii): OK (0.41s) +++ OK, passed 10000 tests. VHDL (UTF8): OK (0.55s) +++ OK, passed 10000 tests. Basic XOR Extended SystemVerilog: OK (0.49s) +++ OK, passed 10000 tests. Verilog: OK (0.43s) +++ OK, passed 10000 tests. VHDL: OK (0.50s) +++ OK, passed 10000 tests. keyword (use => \use\): OK keyword (else => \else\): OK keyword (record => \record\): OK keyword (configuration => \configuration\): OK keyword (cOnFiGUrAtiON => \cOnFiGUrAtiON\): OK Verilog keyword in VHDL (always => always): OK extended identifiers (1) foo bar => \foo bar\: OK (2) foo bar => \foo bar\: OK foo\bar => foobar: OK \foobar\ => foobar: OK pretty names (# #) => Unit: OK () => Unit: OK (,,) => Tup3: OK (#,,,,#) => Tup5: OK pretty names (force basic) (# #) => Unit: OK () => Unit: OK (,,) => Tup3: OK (#,,,,#) => Tup5: OK disallow escaped identifiers foo bar => foobar: OK foo\bar => foobar: OK raw identifiers id: OK (0.40s) +++ OK, passed 10000 tests. Verilog: \foo barunittests: : commitBuffer: invalid argument (invalid character) Test suite unittests: FAIL Test suite logged to: dist-ghc/test/clash-lib-1.6.4-unittests.log 0 of 1 test suites (0 of 1 test cases) passed. -e: error: debian/hlibrary.setup test --builddir=dist-ghc --show-details=direct returned exit code 1 at /usr/share/perl5/Debian/Debhelper/Dh_Lib.pm line 880. Debian::Debhelper::Dh_Lib::error("debian/hlibrary.setup test --builddir=dist-ghc --show-details"...) called at /usr/share/perl5/Debian/Debhelper/Dh_Lib.pm line 610 Debian::Debhelper::Dh_Lib::error_exitcode("debian/hlibrary.setup test --builddir=dist-ghc --show-details"...) called at /usr/share/perl5/Debian/Debhelper/Dh_Lib.pm line 473 Debian::Debhelper::Dh_Lib::doit("debian/hlibrary.setup", "test", "--builddir=dist-ghc", "--show-details=direct") called at /usr/share/perl5/Debian/Debhelper/Buildsystem/Haskell/Recipes.pm line 686 Debian::Debhelper::Buildsystem::Haskell::Recipes::check_recipe() called at -e line 1 make: *** [/usr/share/cdbs/1/class/hlibrary.mk:163: check-ghc-stamp] Error 25 dpkg-buildpackage: error: fakeroot debian/rules binary subprocess returned exit status 2 I: copying local configuration E: Failed autobuilding of package I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/1861466 and its subdirectories Sun Jan 28 03:42:23 UTC 2024 W: No second build log, what happened?