I: pbuilder: network access will be disabled during build I: Current time: Thu May 16 05:08:24 +14 2024 I: pbuilder-time-stamp: 1715785704 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: using eatmydata during job I: Copying source file I: copying [yosys_0.33-5.dsc] I: copying [./yosys_0.33.orig-abc.tar.gz] I: copying [./yosys_0.33.orig.tar.gz] I: copying [./yosys_0.33-5.debian.tar.xz] I: Extracting source gpgv: Signature made Sat Sep 23 21:41:01 2023 gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./yosys_0.33-5.dsc: no acceptable signature found dpkg-source: info: extracting yosys in yosys-0.33 dpkg-source: info: unpacking yosys_0.33.orig.tar.gz dpkg-source: info: unpacking yosys_0.33.orig-abc.tar.gz dpkg-source: info: unpacking yosys_0.33-5.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying abc/remove_bzlib_convenience.patch dpkg-source: info: applying abc/remove_zlib_convenience.patch dpkg-source: info: applying abc/cflags_ldflags.patch dpkg-source: info: applying abc/writepla.patch dpkg-source: info: applying abc/0006-Fix-spelling-errors.patch dpkg-source: info: applying abc/0007-Fix-repro-on-armhf-vs-aarch64.patch dpkg-source: info: applying abc/0007-Remove-build-date-time-reproducibility-hazard.patch dpkg-source: info: applying switch-to-free-font.patch dpkg-source: info: applying kfreebsd-support.patch dpkg-source: info: applying 0007-Disable-pretty-build.patch dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch dpkg-source: info: applying 0017-Support-plugin-loading-from-libdir.patch dpkg-source: info: applying 0018-Fix-autotest-compliation.patch dpkg-source: info: applying 0020-autotest-Print-log-on-error.patch dpkg-source: info: applying 0021-Fix-global-cache-destruction-in-IdString-class.patch dpkg-source: info: applying 0023-Use-SOURCE-DATE-EPOCH-for-docs.patch dpkg-source: info: applying 0025-Remove-emoji-causing-latex-errors.patch dpkg-source: info: applying 0026-Quiet-write-rst-command-ref-manual.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D01_modify_environment starting debug: Running on ionos12-i386. I: Changing host+domainname to test build reproducibility I: Adding a custom variable just for the fun of it... I: Changing /bin/sh to bash '/bin/sh' -> '/bin/bash' lrwxrwxrwx 1 root root 9 May 15 15:08 /bin/sh -> /bin/bash I: Setting pbuilder2's login shell to /bin/bash I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D01_modify_environment finished I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D02_print_environment starting I: set BASH=/bin/sh BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath BASH_ALIASES=() BASH_ARGC=() BASH_ARGV=() BASH_CMDS=() BASH_LINENO=([0]="12" [1]="0") BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") BASH_VERSINFO=([0]="5" [1]="2" [2]="21" [3]="1" [4]="release" [5]="i686-pc-linux-gnu") BASH_VERSION='5.2.21(1)-release' BUILDDIR=/build/reproducible-path BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' BUILDUSERNAME=pbuilder2 BUILD_ARCH=i386 DEBIAN_FRONTEND=noninteractive DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=10 ' DIRSTACK=() DISTRIBUTION=trixie EUID=0 FUNCNAME=([0]="Echo" [1]="main") GROUPS=() HOME=/root HOSTNAME=i-capture-the-hostname HOSTTYPE=i686 HOST_ARCH=i386 IFS=' ' INVOCATION_ID=24cd4f410f9a4d11a49d79df15a5186e LANG=C LANGUAGE=de_CH:de LC_ALL=C LD_LIBRARY_PATH=/usr/lib/libeatmydata LD_PRELOAD=libeatmydata.so MACHTYPE=i686-pc-linux-gnu MAIL=/var/mail/root OPTERR=1 OPTIND=1 OSTYPE=linux-gnu PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path PBCURRENTCOMMANDLINEOPERATION=build PBUILDER_OPERATION=build PBUILDER_PKGDATADIR=/usr/share/pbuilder PBUILDER_PKGLIBDIR=/usr/lib/pbuilder PBUILDER_SYSCONFDIR=/etc PIPESTATUS=([0]="0") POSIXLY_CORRECT=y PPID=29600 PS4='+ ' PWD=/ SHELL=/bin/bash SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix SHLVL=3 SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.B9OZrfoY/pbuilderrc_z1Lw --distribution trixie --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.B9OZrfoY/b2 --logfile b2/build.log yosys_0.33-5.dsc' SUDO_GID=112 SUDO_UID=107 SUDO_USER=jenkins TERM=unknown TZ=/usr/share/zoneinfo/Etc/GMT-14 UID=0 USER=root _='I: set' http_proxy=http://46.16.76.132:3128 I: uname -a Linux i-capture-the-hostname 6.1.0-21-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.90-1 (2024-05-03) x86_64 GNU/Linux I: ls -l /bin lrwxrwxrwx 1 root root 7 May 13 11:26 /bin -> usr/bin I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: i386 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, libbz2-dev, zlib1g-dev, bison, flex, gawk, git, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, tex-gyre, latexmk, lmodern, graphviz, pdf2svg, python3-sphinx, python3-sphinx-press-theme, python3-sphinxcontrib.bibtex dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19684 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on dh-python; however: Package dh-python is not installed. pbuilder-satisfydepends-dummy depends on tcl-dev; however: Package tcl-dev is not installed. pbuilder-satisfydepends-dummy depends on libreadline-dev; however: Package libreadline-dev is not installed. pbuilder-satisfydepends-dummy depends on libbz2-dev; however: Package libbz2-dev is not installed. pbuilder-satisfydepends-dummy depends on zlib1g-dev; however: Package zlib1g-dev is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on gawk; however: Package gawk is not installed. pbuilder-satisfydepends-dummy depends on git; however: Package git is not installed. pbuilder-satisfydepends-dummy depends on libffi-dev; however: Package libffi-dev is not installed. pbuilder-satisfydepends-dummy depends on pkg-config; however: Package pkg-config is not installed. pbuilder-satisfydepends-dummy depends on txt2man; however: Package txt2man is not installed. pbuilder-satisfydepends-dummy depends on iverilog (>= 10.1); however: Package iverilog is not installed. pbuilder-satisfydepends-dummy depends on python3; however: Package python3 is not installed. pbuilder-satisfydepends-dummy depends on texlive-base; however: Package texlive-base is not installed. pbuilder-satisfydepends-dummy depends on texlive-plain-generic; however: Package texlive-plain-generic is not installed. pbuilder-satisfydepends-dummy depends on texlive-fonts-recommended; however: Package texlive-fonts-recommended is not installed. pbuilder-satisfydepends-dummy depends on texlive-fonts-extra; however: Package texlive-fonts-extra is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-base; however: Package texlive-latex-base is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-extra; however: Package texlive-latex-extra is not installed. pbuilder-satisfydepends-dummy depends on texlive-font-utils; however: Package texlive-font-utils is not installed. pbuilder-satisfydepends-dummy depends on texlive-science; however: Package texlive-science is not installed. pbuilder-satisfydepends-dummy depends on texlive-publishers; however: Package texlive-publishers is not installed. pbuilder-satisfydepends-dummy depends on texlive-bibtex-extra; however: Package texlive-bibtex-extra is not installed. pbuilder-satisfydepends-dummy depends on tex-gyre; however: Package tex-gyre is not installed. pbuilder-satisfydepends-dummy depends on latexmk; however: Package latexmk is not installed. pbuilder-satisfydepends-dummy depends on lmodern; however: Package lmodern is not installed. pbuilder-satisfydepends-dummy depends on graphviz; however: Package graphviz is not installed. pbuilder-satisfydepends-dummy depends on pdf2svg; however: Package pdf2svg is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx; however: Package python3-sphinx is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinx-press-theme; however: Package python3-sphinx-press-theme is not installed. pbuilder-satisfydepends-dummy depends on python3-sphinxcontrib.bibtex; however: Package python3-sphinxcontrib.bibtex is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} ca-certificates{a} debhelper{a} dh-autoreconf{a} dh-python{a} dh-strip-nondeterminism{a} docutils-common{a} dwz{a} file{a} flex{a} fontconfig{a} fontconfig-config{a} fonts-dejavu-core{a} fonts-dejavu-mono{a} fonts-gfs-baskerville{a} fonts-gfs-porson{a} fonts-lmodern{a} gawk{a} gettext{a} gettext-base{a} git{a} git-man{a} graphviz{a} groff-base{a} intltool-debian{a} iverilog{a} latexmk{a} libabsl20230802{a} libaom3{a} libapache-pom-java{a} libarchive-zip-perl{a} libavif16{a} libbibtex-parser-perl{a} libbrotli1{a} libbsd0{a} libbz2-dev{a} libcairo2{a} libcdt5{a} libcgraph6{a} libcom-err2{a} libcommons-logging-java{a} libcommons-parent-java{a} libcurl3t64-gnutls{a} libdatrie1{a} libdav1d7{a} libde265-0{a} libdebhelper-perl{a} libdeflate0{a} libelf1t64{a} liberror-perl{a} libexpat1{a} libffi-dev{a} libfile-stripnondeterminism-perl{a} libfontbox-java{a} libfontconfig1{a} libfontenc1{a} libfreetype6{a} libfribidi0{a} libgav1-1{a} libgd3{a} libglib2.0-0t64{a} libgraphite2-3{a} libgssapi-krb5-2{a} libgts-0.7-5t64{a} libgvc6{a} libgvpr2{a} libharfbuzz0b{a} libheif-plugin-dav1d{a} libheif-plugin-libde265{a} libheif1{a} libice6{a} libicu72{a} libio-string-perl{a} libjbig0{a} libjpeg62-turbo{a} libjs-jquery{a} libjs-sphinxdoc{a} libjs-underscore{a} libjson-perl{a} libk5crypto3{a} libkeyutils1{a} libkpathsea6{a} libkrb5-3{a} libkrb5support0{a} liblab-gamut1{a} liblatex-tounicode-perl{a} liblcms2-2{a} libldap-2.5-0{a} liblerc4{a} libltdl7{a} libmagic-mgc{a} libmagic1t64{a} libncurses-dev{a} libncurses6{a} libnghttp2-14{a} libnspr4{a} libnss3{a} libopenjp2-7{a} libpango-1.0-0{a} libpangocairo-1.0-0{a} libpangoft2-1.0-0{a} libpaper-utils{a} libpaper1{a} libpathplan4{a} libpdfbox-java{a} libpipeline1{a} libpixman-1-0{a} libpkgconf3{a} libpng16-16t64{a} libpoppler-glib8t64{a} libpoppler126t64{a} libpotrace0{a} libpsl5t64{a} libptexenc1{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} librav1e0{a} libreadline-dev{a} libreadline8t64{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libsharpyuv0{a} libsigsegv2{a} libsm6{a} libssh2-1t64{a} libsub-override-perl{a} libsynctex2{a} libtcl8.6{a} libteckit0{a} libtexlua53-5{a} libthai-data{a} libthai0{a} libtiff6{a} libtool{a} libuchardet0{a} libwebp7{a} libx11-6{a} libx11-data{a} libxau6{a} libxaw7{a} libxcb-render0{a} libxcb-shm0{a} libxcb1{a} libxdmcp6{a} libxext6{a} libxi6{a} libxml2{a} libxmu6{a} libxpm4{a} libxrender1{a} libxt6t64{a} libyaml-0-2{a} libyuv0{a} libzzip-0-13t64{a} lmodern{a} m4{a} man-db{a} media-types{a} netbase{a} openssl{a} pdf2svg{a} pkg-config{a} pkgconf{a} pkgconf-bin{a} po-debconf{a} preview-latex-style{a} python-babel-localedata{a} python3{a} python3-alabaster{a} python3-babel{a} python3-certifi{a} python3-chardet{a} python3-charset-normalizer{a} python3-distutils{a} python3-docutils{a} python3-idna{a} python3-imagesize{a} python3-jinja2{a} python3-latexcodec{a} python3-lib2to3{a} python3-markupsafe{a} python3-minimal{a} python3-packaging{a} python3-pkg-resources{a} python3-pybtex{a} python3-pybtex-docutils{a} python3-pygments{a} python3-requests{a} python3-roman{a} python3-setuptools{a} python3-six{a} python3-snowballstemmer{a} python3-sphinx{a} python3-sphinx-press-theme{a} python3-sphinxcontrib.bibtex{a} python3-urllib3{a} python3-yaml{a} python3.11{a} python3.11-minimal{a} readline-common{a} sensible-utils{a} sgml-base{a} sphinx-common{a} t1utils{a} tcl{a} tcl-dev{a} tcl8.6{a} tcl8.6-dev{a} tex-common{a} tex-gyre{a} texlive-base{a} texlive-bibtex-extra{a} texlive-binaries{a} texlive-font-utils{a} texlive-fonts-extra{a} texlive-fonts-recommended{a} texlive-lang-greek{a} texlive-latex-base{a} texlive-latex-extra{a} texlive-latex-recommended{a} texlive-pictures{a} texlive-plain-generic{a} texlive-publishers{a} texlive-science{a} txt2man{a} tzdata{a} ucf{a} x11-common{a} xdg-utils{a} xfonts-encodings{a} xfonts-utils{a} xml-core{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: apvlv atril bzip2-doc cm-super curl default-jre dvisvgm evince fonts-adf-accanthis fonts-adf-berenis fonts-adf-gillius fonts-adf-universalis fonts-cabin fonts-cantarell fonts-clear-sans fonts-comfortaa fonts-comic-neue fonts-croscore fonts-crosextra-caladea fonts-crosextra-carlito fonts-dejavu-extra fonts-ebgaramond-extra fonts-font-awesome fonts-freefont-otf fonts-freefont-ttf fonts-gfs-artemisia fonts-gfs-complutum fonts-gfs-didot fonts-gfs-neohellenic fonts-gfs-olga fonts-gfs-solomos fonts-go fonts-inter fonts-lato fonts-liberation2 fonts-linuxlibertine fonts-lobstertwo fonts-noto-color-emoji fonts-noto-core fonts-noto-mono fonts-oflb-asana-math fonts-open-sans fonts-paratype fonts-roboto-slab fonts-roboto-unhinted fonts-sil-andika fonts-sil-charis fonts-sil-gentium fonts-sil-gentium-basic fonts-sil-gentiumplus fonts-sil-gentiumplus-compact fonts-stix fonts-texgyre fonts-texgyre-math ghostscript gv javascript-common krb5-locales less libarchive-cpio-perl libfile-mimeinfo-perl libfl-dev libglib2.0-data libgpm2 libgts-bin libheif-plugin-aomenc libheif-plugin-x265 libjson-xs-perl libldap-common libltdl-dev libmail-sendmail-perl libnet-dbus-perl libsasl2-modules libspreadsheet-parseexcel-perl libx11-protocol-perl lynx mupdf okular openssh-client poppler-data ps2eps publicsuffix python3-pil qpdfview qpdfview-ps-plugin ruby shared-mime-info texlive-fonts-extra-links tipa tk viewpdf.app wget x11-utils x11-xserver-utils xdg-user-dirs xpdf zathura-pdf-poppler zathura-ps 0 packages upgraded, 240 newly installed, 0 to remove and 0 not upgraded. Need to get 1044 MB of archives. After unpacking 2892 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian trixie/main i386 m4 i386 1.4.19-4 [293 kB] Get: 2 http://deb.debian.org/debian trixie/main i386 flex i386 2.6.4-8.2+b2 [415 kB] Get: 3 http://deb.debian.org/debian trixie/main i386 readline-common all 8.2-4 [69.3 kB] Get: 4 http://deb.debian.org/debian trixie/main i386 libreadline8t64 i386 8.2-4 [171 kB] Get: 5 http://deb.debian.org/debian trixie/main i386 libsigsegv2 i386 2.14-1+b1 [34.6 kB] Get: 6 http://deb.debian.org/debian trixie/main i386 gawk i386 1:5.2.1-2+b1 [680 kB] Get: 7 http://deb.debian.org/debian trixie/main i386 libpython3.11-minimal i386 3.11.9-1 [817 kB] Get: 8 http://deb.debian.org/debian trixie/main i386 libexpat1 i386 2.6.2-1 [107 kB] Get: 9 http://deb.debian.org/debian trixie/main i386 python3.11-minimal i386 3.11.9-1 [1918 kB] Get: 10 http://deb.debian.org/debian trixie/main i386 python3-minimal i386 3.11.8-1 [26.3 kB] Get: 11 http://deb.debian.org/debian trixie/main i386 media-types all 10.1.0 [26.9 kB] Get: 12 http://deb.debian.org/debian trixie/main i386 netbase all 6.4 [12.8 kB] Get: 13 http://deb.debian.org/debian trixie/main i386 tzdata all 2024a-4 [255 kB] Get: 14 http://deb.debian.org/debian trixie/main i386 libpython3.11-stdlib i386 3.11.9-1 [1795 kB] Get: 15 http://deb.debian.org/debian trixie/main i386 python3.11 i386 3.11.9-1 [602 kB] Get: 16 http://deb.debian.org/debian trixie/main i386 libpython3-stdlib i386 3.11.8-1 [9336 B] Get: 17 http://deb.debian.org/debian trixie/main i386 python3 i386 3.11.8-1 [27.4 kB] Get: 18 http://deb.debian.org/debian trixie/main i386 sgml-base all 1.31 [15.4 kB] Get: 19 http://deb.debian.org/debian trixie/main i386 sensible-utils all 0.0.22 [22.4 kB] Get: 20 http://deb.debian.org/debian trixie/main i386 openssl i386 3.2.1-3 [1364 kB] Get: 21 http://deb.debian.org/debian trixie/main i386 ca-certificates all 20240203 [158 kB] Get: 22 http://deb.debian.org/debian trixie/main i386 libmagic-mgc i386 1:5.45-3 [314 kB] Get: 23 http://deb.debian.org/debian trixie/main i386 libmagic1t64 i386 1:5.45-3 [114 kB] Get: 24 http://deb.debian.org/debian trixie/main i386 file i386 1:5.45-3 [42.9 kB] Get: 25 http://deb.debian.org/debian trixie/main i386 gettext-base i386 0.21-14+b1 [162 kB] Get: 26 http://deb.debian.org/debian trixie/main i386 libuchardet0 i386 0.0.8-1+b1 [69.1 kB] Get: 27 http://deb.debian.org/debian trixie/main i386 groff-base i386 1.23.0-4 [1194 kB] Get: 28 http://deb.debian.org/debian trixie/main i386 bsdextrautils i386 2.40-8 [97.2 kB] Get: 29 http://deb.debian.org/debian trixie/main i386 libpipeline1 i386 1.5.7-2 [39.7 kB] Get: 30 http://deb.debian.org/debian trixie/main i386 man-db i386 2.12.1-1 [1421 kB] Get: 31 http://deb.debian.org/debian trixie/main i386 ucf all 3.0043+nmu1 [55.2 kB] Get: 32 http://deb.debian.org/debian trixie/main i386 autoconf all 2.71-3 [332 kB] Get: 33 http://deb.debian.org/debian trixie/main i386 autotools-dev all 20220109.1 [51.6 kB] Get: 34 http://deb.debian.org/debian trixie/main i386 automake all 1:1.16.5-1.3 [823 kB] Get: 35 http://deb.debian.org/debian trixie/main i386 autopoint all 0.21-14 [496 kB] Get: 36 http://deb.debian.org/debian trixie/main i386 bison i386 2:3.8.2+dfsg-1+b1 [1186 kB] Get: 37 http://deb.debian.org/debian trixie/main i386 libdebhelper-perl all 13.15.3 [88.0 kB] Get: 38 http://deb.debian.org/debian trixie/main i386 libtool all 2.4.7-7 [517 kB] Get: 39 http://deb.debian.org/debian trixie/main i386 dh-autoreconf all 20 [17.1 kB] Get: 40 http://deb.debian.org/debian trixie/main i386 libarchive-zip-perl all 1.68-1 [104 kB] Get: 41 http://deb.debian.org/debian trixie/main i386 libsub-override-perl all 0.10-1 [10.6 kB] Get: 42 http://deb.debian.org/debian trixie/main i386 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 43 http://deb.debian.org/debian trixie/main i386 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 44 http://deb.debian.org/debian trixie/main i386 libelf1t64 i386 0.191-1+b1 [194 kB] Get: 45 http://deb.debian.org/debian trixie/main i386 dwz i386 0.15-1+b1 [116 kB] Get: 46 http://deb.debian.org/debian trixie/main i386 libicu72 i386 72.1-4+b1 [9549 kB] Get: 47 http://deb.debian.org/debian trixie/main i386 libxml2 i386 2.9.14+dfsg-1.3+b3 [727 kB] Get: 48 http://deb.debian.org/debian trixie/main i386 gettext i386 0.21-14+b1 [1311 kB] Get: 49 http://deb.debian.org/debian trixie/main i386 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 50 http://deb.debian.org/debian trixie/main i386 po-debconf all 1.0.21+nmu1 [248 kB] Get: 51 http://deb.debian.org/debian trixie/main i386 debhelper all 13.15.3 [901 kB] Get: 52 http://deb.debian.org/debian trixie/main i386 python3-pkg-resources all 68.1.2-2 [241 kB] Get: 53 http://deb.debian.org/debian trixie/main i386 python3-lib2to3 all 3.12.3-1 [77.6 kB] Get: 54 http://deb.debian.org/debian trixie/main i386 python3-distutils all 3.12.3-1 [131 kB] Get: 55 http://deb.debian.org/debian trixie/main i386 python3-setuptools all 68.1.2-2 [468 kB] Get: 56 http://deb.debian.org/debian trixie/main i386 dh-python all 6.20240422 [107 kB] Get: 57 http://deb.debian.org/debian trixie/main i386 xml-core all 0.19 [20.1 kB] Get: 58 http://deb.debian.org/debian trixie/main i386 docutils-common all 0.20.1+dfsg-3 [128 kB] Get: 59 http://deb.debian.org/debian trixie/main i386 libbrotli1 i386 1.1.0-2+b3 [314 kB] Get: 60 http://deb.debian.org/debian trixie/main i386 libpng16-16t64 i386 1.6.43-5 [286 kB] Get: 61 http://deb.debian.org/debian trixie/main i386 libfreetype6 i386 2.13.2+dfsg-1+b4 [449 kB] Get: 62 http://deb.debian.org/debian trixie/main i386 fonts-dejavu-mono all 2.37-8 [489 kB] Get: 63 http://deb.debian.org/debian trixie/main i386 fonts-dejavu-core all 2.37-8 [840 kB] Get: 64 http://deb.debian.org/debian trixie/main i386 fontconfig-config i386 2.15.0-1.1 [317 kB] Get: 65 http://deb.debian.org/debian trixie/main i386 libfontconfig1 i386 2.15.0-1.1 [401 kB] Get: 66 http://deb.debian.org/debian trixie/main i386 fontconfig i386 2.15.0-1.1 [462 kB] Get: 67 http://deb.debian.org/debian trixie/main i386 fonts-gfs-baskerville all 1.1-6 [43.7 kB] Get: 68 http://deb.debian.org/debian trixie/main i386 fonts-gfs-porson all 1.1-7 [33.8 kB] Get: 69 http://deb.debian.org/debian trixie/main i386 fonts-lmodern all 2.005-1 [4540 kB] Get: 70 http://deb.debian.org/debian trixie/main i386 libkrb5support0 i386 1.20.1-6+b1 [36.1 kB] Get: 71 http://deb.debian.org/debian trixie/main i386 libcom-err2 i386 1.47.1~rc2-1 [22.8 kB] Get: 72 http://deb.debian.org/debian trixie/main i386 libk5crypto3 i386 1.20.1-6+b1 [83.2 kB] Get: 73 http://deb.debian.org/debian trixie/main i386 libkeyutils1 i386 1.6.3-3 [9432 B] Get: 74 http://deb.debian.org/debian trixie/main i386 libkrb5-3 i386 1.20.1-6+b1 [360 kB] Get: 75 http://deb.debian.org/debian trixie/main i386 libgssapi-krb5-2 i386 1.20.1-6+b1 [145 kB] Get: 76 http://deb.debian.org/debian trixie/main i386 libsasl2-modules-db i386 2.1.28+dfsg1-6 [20.5 kB] Get: 77 http://deb.debian.org/debian trixie/main i386 libsasl2-2 i386 2.1.28+dfsg1-6 [60.6 kB] Get: 78 http://deb.debian.org/debian trixie/main i386 libldap-2.5-0 i386 2.5.17+dfsg-1 [198 kB] Get: 79 http://deb.debian.org/debian trixie/main i386 libnghttp2-14 i386 1.61.0-1+b1 [84.0 kB] Get: 80 http://deb.debian.org/debian trixie/main i386 libpsl5t64 i386 0.21.2-1.1 [57.4 kB] Get: 81 http://deb.debian.org/debian trixie/main i386 librtmp1 i386 2.4+20151223.gitfa8646d.1-2+b4 [62.0 kB] Get: 82 http://deb.debian.org/debian trixie/main i386 libssh2-1t64 i386 1.11.0-4.1+b2 [225 kB] Get: 83 http://deb.debian.org/debian trixie/main i386 libcurl3t64-gnutls i386 8.7.1-5 [468 kB] Get: 84 http://deb.debian.org/debian trixie/main i386 liberror-perl all 0.17029-2 [29.0 kB] Get: 85 http://deb.debian.org/debian trixie/main i386 git-man all 1:2.43.0-1 [2112 kB] Get: 86 http://deb.debian.org/debian trixie/main i386 git i386 1:2.43.0-1+b1 [8906 kB] Get: 87 http://deb.debian.org/debian trixie/main i386 libcdt5 i386 2.42.2-9+b1 [40.3 kB] Get: 88 http://deb.debian.org/debian trixie/main i386 libcgraph6 i386 2.42.2-9+b1 [67.5 kB] Get: 89 http://deb.debian.org/debian trixie/main i386 libaom3 i386 3.8.2-2 [1910 kB] Get: 90 http://deb.debian.org/debian trixie/main i386 libdav1d7 i386 1.4.1-1 [330 kB] Get: 91 http://deb.debian.org/debian trixie/main i386 libabsl20230802 i386 20230802.1-4 [521 kB] Get: 92 http://deb.debian.org/debian trixie/main i386 libgav1-1 i386 0.19.0-2+b1 [325 kB] Get: 93 http://deb.debian.org/debian trixie/main i386 librav1e0 i386 0.7.1-2 [650 kB] Get: 94 http://deb.debian.org/debian trixie/main i386 libsharpyuv0 i386 1.4.0-0.1 [113 kB] Get: 95 http://deb.debian.org/debian trixie/main i386 libjpeg62-turbo i386 1:2.1.5-3 [169 kB] Get: 96 http://deb.debian.org/debian trixie/main i386 libyuv0 i386 0.0~git202401110.af6ac82-1 [108 kB] Get: 97 http://deb.debian.org/debian trixie/main i386 libavif16 i386 1.0.4-3 [113 kB] Get: 98 http://deb.debian.org/debian trixie/main i386 libheif-plugin-dav1d i386 1.17.6-1+b2 [10.2 kB] Get: 99 http://deb.debian.org/debian trixie/main i386 libde265-0 i386 1.0.15-1+b1 [195 kB] Get: 100 http://deb.debian.org/debian trixie/main i386 libheif-plugin-libde265 i386 1.17.6-1+b2 [13.7 kB] Get: 101 http://deb.debian.org/debian trixie/main i386 libheif1 i386 1.17.6-1+b2 [299 kB] Get: 102 http://deb.debian.org/debian trixie/main i386 libdeflate0 i386 1.20-1 [46.9 kB] Get: 103 http://deb.debian.org/debian trixie/main i386 libjbig0 i386 2.1-6.1+b1 [31.8 kB] Get: 104 http://deb.debian.org/debian trixie/main i386 liblerc4 i386 4.0.0+ds-4+b1 [180 kB] Get: 105 http://deb.debian.org/debian trixie/main i386 libwebp7 i386 1.4.0-0.1 [318 kB] Get: 106 http://deb.debian.org/debian trixie/main i386 libtiff6 i386 4.5.1+git230720-4 [338 kB] Get: 107 http://deb.debian.org/debian trixie/main i386 libxau6 i386 1:1.0.9-1+b1 [18.5 kB] Get: 108 http://deb.debian.org/debian trixie/main i386 libbsd0 i386 0.12.2-1 [134 kB] Get: 109 http://deb.debian.org/debian trixie/main i386 libxdmcp6 i386 1:1.1.2-3+b1 [24.8 kB] Get: 110 http://deb.debian.org/debian trixie/main i386 libxcb1 i386 1.15-1 [148 kB] Get: 111 http://deb.debian.org/debian trixie/main i386 libx11-data all 2:1.8.7-1 [328 kB] Get: 112 http://deb.debian.org/debian trixie/main i386 libx11-6 i386 2:1.8.7-1+b1 [822 kB] Get: 113 http://deb.debian.org/debian trixie/main i386 libxpm4 i386 1:3.5.17-1+b1 [57.8 kB] Get: 114 http://deb.debian.org/debian trixie/main i386 libgd3 i386 2.3.3-9+b3 [129 kB] Get: 115 http://deb.debian.org/debian trixie/main i386 libglib2.0-0t64 i386 2.80.2-1 [1555 kB] Get: 116 http://deb.debian.org/debian trixie/main i386 libgts-0.7-5t64 i386 0.7.6+darcs121130-5.2 [158 kB] Get: 117 http://deb.debian.org/debian trixie/main i386 libpixman-1-0 i386 0.42.2-1+b1 [555 kB] Get: 118 http://deb.debian.org/debian trixie/main i386 libxcb-render0 i386 1.15-1 [116 kB] Get: 119 http://deb.debian.org/debian trixie/main i386 libxcb-shm0 i386 1.15-1 [106 kB] Get: 120 http://deb.debian.org/debian trixie/main i386 libxext6 i386 2:1.3.4-1+b1 [55.3 kB] Get: 121 http://deb.debian.org/debian trixie/main i386 libxrender1 i386 1:0.9.10-1.1+b1 [28.8 kB] Get: 122 http://deb.debian.org/debian trixie/main i386 libcairo2 i386 1.18.0-3+b1 [588 kB] Get: 123 http://deb.debian.org/debian trixie/main i386 libltdl7 i386 2.4.7-7+b1 [395 kB] Get: 124 http://deb.debian.org/debian trixie/main i386 libfribidi0 i386 1.0.13-3+b1 [71.8 kB] Get: 125 http://deb.debian.org/debian trixie/main i386 libgraphite2-3 i386 1.3.14-2 [77.7 kB] Get: 126 http://deb.debian.org/debian trixie/main i386 libharfbuzz0b i386 8.3.0-2+b1 [2234 kB] Get: 127 http://deb.debian.org/debian trixie/main i386 libthai-data all 0.1.29-2 [168 kB] Get: 128 http://deb.debian.org/debian trixie/main i386 libdatrie1 i386 0.2.13-3 [39.5 kB] Get: 129 http://deb.debian.org/debian trixie/main i386 libthai0 i386 0.1.29-2 [50.1 kB] Get: 130 http://deb.debian.org/debian trixie/main i386 libpango-1.0-0 i386 1.52.2+ds-1 [226 kB] Get: 131 http://deb.debian.org/debian trixie/main i386 libpangoft2-1.0-0 i386 1.52.2+ds-1 [51.2 kB] Get: 132 http://deb.debian.org/debian trixie/main i386 libpangocairo-1.0-0 i386 1.52.2+ds-1 [35.9 kB] Get: 133 http://deb.debian.org/debian trixie/main i386 libpathplan4 i386 2.42.2-9+b1 [42.4 kB] Get: 134 http://deb.debian.org/debian trixie/main i386 libgvc6 i386 2.42.2-9+b1 [712 kB] Get: 135 http://deb.debian.org/debian trixie/main i386 libgvpr2 i386 2.42.2-9+b1 [198 kB] Get: 136 http://deb.debian.org/debian trixie/main i386 liblab-gamut1 i386 2.42.2-9+b1 [198 kB] Get: 137 http://deb.debian.org/debian trixie/main i386 x11-common all 1:7.7+23 [252 kB] Get: 138 http://deb.debian.org/debian trixie/main i386 libice6 i386 2:1.0.10-1+b1 [58.6 kB] Get: 139 http://deb.debian.org/debian trixie/main i386 libsm6 i386 2:1.2.3-1+b1 [33.9 kB] Get: 140 http://deb.debian.org/debian trixie/main i386 libxt6t64 i386 1:1.2.1-1.2 [193 kB] Get: 141 http://deb.debian.org/debian trixie/main i386 libxmu6 i386 2:1.1.3-3+b2 [60.5 kB] Get: 142 http://deb.debian.org/debian trixie/main i386 libxaw7 i386 2:1.0.14-1+b2 [208 kB] Get: 143 http://deb.debian.org/debian trixie/main i386 graphviz i386 2.42.2-9+b1 [576 kB] Get: 144 http://deb.debian.org/debian trixie/main i386 iverilog i386 12.0-2+b1 [2127 kB] Get: 145 http://deb.debian.org/debian trixie/main i386 tex-common all 6.18 [32.5 kB] Get: 146 http://deb.debian.org/debian trixie/main i386 libpaper1 i386 1.1.29+b1 [13.0 kB] Get: 147 http://deb.debian.org/debian trixie/main i386 libpaper-utils i386 1.1.29+b1 [9280 B] Get: 148 http://deb.debian.org/debian trixie/main i386 libkpathsea6 i386 2023.20230311.66589-9+b2 [157 kB] Get: 149 http://deb.debian.org/debian trixie/main i386 libptexenc1 i386 2023.20230311.66589-9+b2 [48.3 kB] Get: 150 http://deb.debian.org/debian trixie/main i386 libsynctex2 i386 2023.20230311.66589-9+b2 [64.9 kB] Get: 151 http://deb.debian.org/debian trixie/main i386 libtexlua53-5 i386 2023.20230311.66589-9+b2 [128 kB] Get: 152 http://deb.debian.org/debian trixie/main i386 t1utils i386 1.41-4 [62.3 kB] Get: 153 http://deb.debian.org/debian trixie/main i386 libpotrace0 i386 1.16-2+b1 [24.2 kB] Get: 154 http://deb.debian.org/debian trixie/main i386 libteckit0 i386 2.5.12+ds1-1 [284 kB] Get: 155 http://deb.debian.org/debian trixie/main i386 libxi6 i386 2:1.8.1-1 [81.0 kB] Get: 156 http://deb.debian.org/debian trixie/main i386 libzzip-0-13t64 i386 0.13.72+dfsg.1-1.2+b1 [58.1 kB] Get: 157 http://deb.debian.org/debian trixie/main i386 texlive-binaries i386 2023.20230311.66589-9+b2 [8273 kB] Get: 158 http://deb.debian.org/debian trixie/main i386 xdg-utils all 1.1.3-4.1 [75.5 kB] Get: 159 http://deb.debian.org/debian trixie/main i386 texlive-base all 2023.20240207-1 [22.0 MB] Get: 160 http://deb.debian.org/debian trixie/main i386 texlive-latex-base all 2023.20240207-1 [1255 kB] Get: 161 http://deb.debian.org/debian trixie/main i386 latexmk all 1:4.85-1 [501 kB] Get: 162 http://deb.debian.org/debian trixie/main i386 libapache-pom-java all 29-2 [5276 B] Get: 163 http://deb.debian.org/debian trixie/main i386 libio-string-perl all 1.08-4 [12.1 kB] Get: 164 http://deb.debian.org/debian trixie/main i386 liblatex-tounicode-perl all 0.54-2 [29.1 kB] Get: 165 http://deb.debian.org/debian trixie/main i386 libbibtex-parser-perl all 1.04+dfsg-1 [17.6 kB] Get: 166 http://deb.debian.org/debian trixie/main i386 libbz2-dev i386 1.0.8-5.1 [31.4 kB] Get: 167 http://deb.debian.org/debian trixie/main i386 libcommons-parent-java all 56-1 [10.8 kB] Get: 168 http://deb.debian.org/debian trixie/main i386 libcommons-logging-java all 1.3.0-1 [68.6 kB] Get: 169 http://deb.debian.org/debian trixie/main i386 libffi-dev i386 3.4.6-1 [57.8 kB] Get: 170 http://deb.debian.org/debian trixie/main i386 libfontbox-java all 1:1.8.16-5 [211 kB] Get: 171 http://deb.debian.org/debian trixie/main i386 libfontenc1 i386 1:1.1.8-1 [23.1 kB] Get: 172 http://deb.debian.org/debian trixie/main i386 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [326 kB] Get: 173 http://deb.debian.org/debian trixie/main i386 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [116 kB] Get: 174 http://deb.debian.org/debian trixie/main i386 libjs-sphinxdoc all 7.2.6-6 [150 kB] Get: 175 http://deb.debian.org/debian trixie/main i386 libjson-perl all 4.10000-1 [87.5 kB] Get: 176 http://deb.debian.org/debian trixie/main i386 liblcms2-2 i386 2.14-2+b1 [165 kB] Get: 177 http://deb.debian.org/debian trixie/main i386 libncurses6 i386 6.4+20240414-1 [112 kB] Get: 178 http://deb.debian.org/debian trixie/main i386 libncurses-dev i386 6.4+20240414-1 [380 kB] Get: 179 http://deb.debian.org/debian trixie/main i386 libnspr4 i386 2:4.35-1.1+b1 [118 kB] Get: 180 http://deb.debian.org/debian trixie/main i386 libnss3 i386 2:3.99-1 [1523 kB] Get: 181 http://deb.debian.org/debian trixie/main i386 libopenjp2-7 i386 2.5.0-2+b3 [197 kB] Get: 182 http://deb.debian.org/debian trixie/main i386 libpdfbox-java all 1:1.8.16-5 [5527 kB] Get: 183 http://deb.debian.org/debian trixie/main i386 libpkgconf3 i386 1.8.1-1+b2 [38.5 kB] Get: 184 http://deb.debian.org/debian trixie/main i386 libpoppler126t64 i386 22.12.0-2.2+b1 [1917 kB] Get: 185 http://deb.debian.org/debian trixie/main i386 libpoppler-glib8t64 i386 22.12.0-2.2+b1 [143 kB] Get: 186 http://deb.debian.org/debian trixie/main i386 libreadline-dev i386 8.2-4 [164 kB] Get: 187 http://deb.debian.org/debian trixie/main i386 libtcl8.6 i386 8.6.14+dfsg-1 [1095 kB] Get: 188 http://deb.debian.org/debian trixie/main i386 libyaml-0-2 i386 0.2.5-1+b1 [55.2 kB] Get: 189 http://deb.debian.org/debian trixie/main i386 xfonts-encodings all 1:1.0.4-2.2 [577 kB] Get: 190 http://deb.debian.org/debian trixie/main i386 xfonts-utils i386 1:7.7+6 [95.2 kB] Get: 191 http://deb.debian.org/debian trixie/main i386 lmodern all 2.005-1 [9480 kB] Get: 192 http://deb.debian.org/debian trixie/main i386 pdf2svg i386 0.2.3-5+b1 [8828 B] Get: 193 http://deb.debian.org/debian trixie/main i386 pkgconf-bin i386 1.8.1-1+b2 [30.2 kB] Get: 194 http://deb.debian.org/debian trixie/main i386 pkgconf i386 1.8.1-1+b2 [26.2 kB] Get: 195 http://deb.debian.org/debian trixie/main i386 pkg-config i386 1.8.1-1+b2 [14.0 kB] Get: 196 http://deb.debian.org/debian trixie/main i386 preview-latex-style all 13.2-1 [350 kB] Get: 197 http://deb.debian.org/debian trixie/main i386 python-babel-localedata all 2.14.0-1 [5701 kB] Get: 198 http://deb.debian.org/debian trixie/main i386 python3-alabaster all 0.7.12-1 [20.8 kB] Get: 199 http://deb.debian.org/debian trixie/main i386 python3-babel all 2.14.0-1 [111 kB] Get: 200 http://deb.debian.org/debian trixie/main i386 python3-certifi all 2023.11.17-1 [155 kB] Get: 201 http://deb.debian.org/debian trixie/main i386 python3-chardet all 5.2.0+dfsg-1 [107 kB] Get: 202 http://deb.debian.org/debian trixie/main i386 python3-charset-normalizer all 3.3.2-1 [51.6 kB] Get: 203 http://deb.debian.org/debian trixie/main i386 python3-roman all 3.3-3 [9880 B] Get: 204 http://deb.debian.org/debian trixie/main i386 python3-docutils all 0.20.1+dfsg-3 [389 kB] Get: 205 http://deb.debian.org/debian trixie/main i386 python3-idna all 3.6-2 [37.0 kB] Get: 206 http://deb.debian.org/debian trixie/main i386 python3-imagesize all 1.4.1-1 [6688 B] Get: 207 http://deb.debian.org/debian trixie/main i386 python3-markupsafe i386 2.1.5-1 [14.4 kB] Get: 208 http://deb.debian.org/debian trixie/main i386 python3-jinja2 all 3.1.3-1 [119 kB] Get: 209 http://deb.debian.org/debian trixie/main i386 python3-latexcodec all 3.0.0-1 [17.5 kB] Get: 210 http://deb.debian.org/debian trixie/main i386 python3-packaging all 24.0-1 [45.5 kB] Get: 211 http://deb.debian.org/debian trixie/main i386 python3-six all 1.16.0-6 [16.3 kB] Get: 212 http://deb.debian.org/debian trixie/main i386 python3-yaml i386 6.0.1-2 [176 kB] Get: 213 http://deb.debian.org/debian trixie/main i386 python3-pybtex all 0.24.0-4 [74.6 kB] Get: 214 http://deb.debian.org/debian trixie/main i386 python3-pybtex-docutils all 1.0.2-1 [8064 B] Get: 215 http://deb.debian.org/debian trixie/main i386 python3-pygments all 2.17.2+dfsg-1 [818 kB] Get: 216 http://deb.debian.org/debian trixie/main i386 python3-urllib3 all 1.26.18-2 [116 kB] Get: 217 http://deb.debian.org/debian trixie/main i386 python3-requests all 2.31.0+dfsg-1 [68.6 kB] Get: 218 http://deb.debian.org/debian trixie/main i386 python3-snowballstemmer all 2.2.0-4 [58.0 kB] Get: 219 http://deb.debian.org/debian trixie/main i386 sphinx-common all 7.2.6-6 [702 kB] Get: 220 http://deb.debian.org/debian trixie/main i386 python3-sphinx all 7.2.6-6 [552 kB] Get: 221 http://deb.debian.org/debian trixie/main i386 python3-sphinx-press-theme all 0.8.0-2 [12.2 kB] Get: 222 http://deb.debian.org/debian trixie/main i386 python3-sphinxcontrib.bibtex all 2.6.2-1 [32.4 kB] Get: 223 http://deb.debian.org/debian trixie/main i386 tcl8.6 i386 8.6.14+dfsg-1 [120 kB] Get: 224 http://deb.debian.org/debian trixie/main i386 tcl i386 8.6.14 [4028 B] Get: 225 http://deb.debian.org/debian trixie/main i386 zlib1g-dev i386 1:1.3.dfsg-3.1 [915 kB] Get: 226 http://deb.debian.org/debian trixie/main i386 tcl8.6-dev i386 8.6.14+dfsg-1 [1110 kB] Get: 227 http://deb.debian.org/debian trixie/main i386 tcl-dev i386 8.6.14 [6572 B] Get: 228 http://deb.debian.org/debian trixie/main i386 tex-gyre all 20180621-6 [6209 kB] Get: 229 http://deb.debian.org/debian trixie/main i386 texlive-bibtex-extra all 2023.20240207-1 [79.1 MB] Get: 230 http://deb.debian.org/debian trixie/main i386 texlive-font-utils all 2023.20240207-1 [7046 kB] Get: 231 http://deb.debian.org/debian trixie/main i386 texlive-fonts-extra all 2023.20240207-1 [629 MB] Get: 232 http://deb.debian.org/debian trixie/main i386 texlive-fonts-recommended all 2023.20240207-1 [4990 kB] Get: 233 http://deb.debian.org/debian trixie/main i386 texlive-lang-greek all 2023.20240207-1 [79.2 MB] Get: 234 http://deb.debian.org/debian trixie/main i386 texlive-latex-recommended all 2023.20240207-1 [8843 kB] Get: 235 http://deb.debian.org/debian trixie/main i386 texlive-pictures all 2023.20240207-1 [16.7 MB] Get: 236 http://deb.debian.org/debian trixie/main i386 texlive-latex-extra all 2023.20240207-1 [19.7 MB] Get: 237 http://deb.debian.org/debian trixie/main i386 texlive-plain-generic all 2023.20240207-1 [29.0 MB] Get: 238 http://deb.debian.org/debian trixie/main i386 texlive-publishers all 2023.20240207-1 [22.6 MB] Get: 239 http://deb.debian.org/debian trixie/main i386 texlive-science all 2023.20240207-1 [3804 kB] Get: 240 http://deb.debian.org/debian trixie/main i386 txt2man all 1.7.1-4 [35.4 kB] Fetched 1044 MB in 25s (41.8 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19684 files and directories currently installed.) Preparing to unpack .../archives/m4_1.4.19-4_i386.deb ... Unpacking m4 (1.4.19-4) ... Selecting previously unselected package flex. Preparing to unpack .../flex_2.6.4-8.2+b2_i386.deb ... Unpacking flex (2.6.4-8.2+b2) ... Selecting previously unselected package readline-common. Preparing to unpack .../readline-common_8.2-4_all.deb ... Unpacking readline-common (8.2-4) ... Selecting previously unselected package libreadline8t64:i386. Preparing to unpack .../libreadline8t64_8.2-4_i386.deb ... Adding 'diversion of /lib/i386-linux-gnu/libhistory.so.8 to /lib/i386-linux-gnu/libhistory.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/i386-linux-gnu/libhistory.so.8.2 to /lib/i386-linux-gnu/libhistory.so.8.2.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/i386-linux-gnu/libreadline.so.8 to /lib/i386-linux-gnu/libreadline.so.8.usr-is-merged by libreadline8t64' Adding 'diversion of /lib/i386-linux-gnu/libreadline.so.8.2 to /lib/i386-linux-gnu/libreadline.so.8.2.usr-is-merged by libreadline8t64' Unpacking libreadline8t64:i386 (8.2-4) ... Selecting previously unselected package libsigsegv2:i386. Preparing to unpack .../libsigsegv2_2.14-1+b1_i386.deb ... Unpacking libsigsegv2:i386 (2.14-1+b1) ... Setting up readline-common (8.2-4) ... Setting up libreadline8t64:i386 (8.2-4) ... Setting up libsigsegv2:i386 (2.14-1+b1) ... Selecting previously unselected package gawk. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19909 files and directories currently installed.) Preparing to unpack .../gawk_1%3a5.2.1-2+b1_i386.deb ... Unpacking gawk (1:5.2.1-2+b1) ... Selecting previously unselected package libpython3.11-minimal:i386. Preparing to unpack .../libpython3.11-minimal_3.11.9-1_i386.deb ... Unpacking libpython3.11-minimal:i386 (3.11.9-1) ... Selecting previously unselected package libexpat1:i386. Preparing to unpack .../libexpat1_2.6.2-1_i386.deb ... Unpacking libexpat1:i386 (2.6.2-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../python3.11-minimal_3.11.9-1_i386.deb ... Unpacking python3.11-minimal (3.11.9-1) ... Setting up libpython3.11-minimal:i386 (3.11.9-1) ... Setting up libexpat1:i386 (2.6.2-1) ... Setting up python3.11-minimal (3.11.9-1) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20414 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.11.8-1_i386.deb ... Unpacking python3-minimal (3.11.8-1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.1.0_all.deb ... Unpacking media-types (10.1.0) ... Selecting previously unselected package netbase. Preparing to unpack .../2-netbase_6.4_all.deb ... Unpacking netbase (6.4) ... Selecting previously unselected package tzdata. Preparing to unpack .../3-tzdata_2024a-4_all.deb ... Unpacking tzdata (2024a-4) ... Selecting previously unselected package libpython3.11-stdlib:i386. Preparing to unpack .../4-libpython3.11-stdlib_3.11.9-1_i386.deb ... Unpacking libpython3.11-stdlib:i386 (3.11.9-1) ... Selecting previously unselected package python3.11. Preparing to unpack .../5-python3.11_3.11.9-1_i386.deb ... Unpacking python3.11 (3.11.9-1) ... Selecting previously unselected package libpython3-stdlib:i386. Preparing to unpack .../6-libpython3-stdlib_3.11.8-1_i386.deb ... Unpacking libpython3-stdlib:i386 (3.11.8-1) ... Setting up python3-minimal (3.11.8-1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 21374 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.8-1_i386.deb ... Unpacking python3 (3.11.8-1) ... Selecting previously unselected package sgml-base. Preparing to unpack .../001-sgml-base_1.31_all.deb ... Unpacking sgml-base (1.31) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../002-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) ... Selecting previously unselected package openssl. Preparing to unpack .../003-openssl_3.2.1-3_i386.deb ... Unpacking openssl (3.2.1-3) ... Selecting previously unselected package ca-certificates. Preparing to unpack .../004-ca-certificates_20240203_all.deb ... Unpacking ca-certificates (20240203) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../005-libmagic-mgc_1%3a5.45-3_i386.deb ... Unpacking libmagic-mgc (1:5.45-3) ... Selecting previously unselected package libmagic1t64:i386. Preparing to unpack .../006-libmagic1t64_1%3a5.45-3_i386.deb ... Unpacking libmagic1t64:i386 (1:5.45-3) ... Selecting previously unselected package file. Preparing to unpack .../007-file_1%3a5.45-3_i386.deb ... Unpacking file (1:5.45-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../008-gettext-base_0.21-14+b1_i386.deb ... Unpacking gettext-base (0.21-14+b1) ... Selecting previously unselected package libuchardet0:i386. Preparing to unpack .../009-libuchardet0_0.0.8-1+b1_i386.deb ... Unpacking libuchardet0:i386 (0.0.8-1+b1) ... Selecting previously unselected package groff-base. Preparing to unpack .../010-groff-base_1.23.0-4_i386.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../011-bsdextrautils_2.40-8_i386.deb ... Unpacking bsdextrautils (2.40-8) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../012-libpipeline1_1.5.7-2_i386.deb ... Unpacking libpipeline1:i386 (1.5.7-2) ... Selecting previously unselected package man-db. Preparing to unpack .../013-man-db_2.12.1-1_i386.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package ucf. Preparing to unpack .../014-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package autoconf. Preparing to unpack .../015-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../016-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../017-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../018-autopoint_0.21-14_all.deb ... Unpacking autopoint (0.21-14) ... Selecting previously unselected package bison. Preparing to unpack .../019-bison_2%3a3.8.2+dfsg-1+b1_i386.deb ... Unpacking bison (2:3.8.2+dfsg-1+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../020-libdebhelper-perl_13.15.3_all.deb ... Unpacking libdebhelper-perl (13.15.3) ... Selecting previously unselected package libtool. Preparing to unpack .../021-libtool_2.4.7-7_all.deb ... Unpacking libtool (2.4.7-7) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../022-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../023-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../024-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../025-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../026-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1t64:i386. Preparing to unpack .../027-libelf1t64_0.191-1+b1_i386.deb ... Unpacking libelf1t64:i386 (0.191-1+b1) ... Selecting previously unselected package dwz. Preparing to unpack .../028-dwz_0.15-1+b1_i386.deb ... Unpacking dwz (0.15-1+b1) ... Selecting previously unselected package libicu72:i386. Preparing to unpack .../029-libicu72_72.1-4+b1_i386.deb ... Unpacking libicu72:i386 (72.1-4+b1) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../030-libxml2_2.9.14+dfsg-1.3+b3_i386.deb ... Unpacking libxml2:i386 (2.9.14+dfsg-1.3+b3) ... Selecting previously unselected package gettext. Preparing to unpack .../031-gettext_0.21-14+b1_i386.deb ... Unpacking gettext (0.21-14+b1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../032-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../033-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../034-debhelper_13.15.3_all.deb ... Unpacking debhelper (13.15.3) ... Selecting previously unselected package python3-pkg-resources. Preparing to unpack .../035-python3-pkg-resources_68.1.2-2_all.deb ... Unpacking python3-pkg-resources (68.1.2-2) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../036-python3-lib2to3_3.12.3-1_all.deb ... Unpacking python3-lib2to3 (3.12.3-1) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../037-python3-distutils_3.12.3-1_all.deb ... Unpacking python3-distutils (3.12.3-1) ... Selecting previously unselected package python3-setuptools. Preparing to unpack .../038-python3-setuptools_68.1.2-2_all.deb ... Unpacking python3-setuptools (68.1.2-2) ... Selecting previously unselected package dh-python. Preparing to unpack .../039-dh-python_6.20240422_all.deb ... Unpacking dh-python (6.20240422) ... Selecting previously unselected package xml-core. Preparing to unpack .../040-xml-core_0.19_all.deb ... Unpacking xml-core (0.19) ... Selecting previously unselected package docutils-common. Preparing to unpack .../041-docutils-common_0.20.1+dfsg-3_all.deb ... Unpacking docutils-common (0.20.1+dfsg-3) ... Selecting previously unselected package libbrotli1:i386. Preparing to unpack .../042-libbrotli1_1.1.0-2+b3_i386.deb ... Unpacking libbrotli1:i386 (1.1.0-2+b3) ... Selecting previously unselected package libpng16-16t64:i386. Preparing to unpack .../043-libpng16-16t64_1.6.43-5_i386.deb ... Unpacking libpng16-16t64:i386 (1.6.43-5) ... Selecting previously unselected package libfreetype6:i386. Preparing to unpack .../044-libfreetype6_2.13.2+dfsg-1+b4_i386.deb ... Unpacking libfreetype6:i386 (2.13.2+dfsg-1+b4) ... Selecting previously unselected package fonts-dejavu-mono. Preparing to unpack .../045-fonts-dejavu-mono_2.37-8_all.deb ... Unpacking fonts-dejavu-mono (2.37-8) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../046-fonts-dejavu-core_2.37-8_all.deb ... Unpacking fonts-dejavu-core (2.37-8) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../047-fontconfig-config_2.15.0-1.1_i386.deb ... Unpacking fontconfig-config (2.15.0-1.1) ... Selecting previously unselected package libfontconfig1:i386. Preparing to unpack .../048-libfontconfig1_2.15.0-1.1_i386.deb ... Unpacking libfontconfig1:i386 (2.15.0-1.1) ... Selecting previously unselected package fontconfig. Preparing to unpack .../049-fontconfig_2.15.0-1.1_i386.deb ... Unpacking fontconfig (2.15.0-1.1) ... Selecting previously unselected package fonts-gfs-baskerville. Preparing to unpack .../050-fonts-gfs-baskerville_1.1-6_all.deb ... Unpacking fonts-gfs-baskerville (1.1-6) ... Selecting previously unselected package fonts-gfs-porson. Preparing to unpack .../051-fonts-gfs-porson_1.1-7_all.deb ... Unpacking fonts-gfs-porson (1.1-7) ... Selecting previously unselected package fonts-lmodern. Preparing to unpack .../052-fonts-lmodern_2.005-1_all.deb ... Unpacking fonts-lmodern (2.005-1) ... Selecting previously unselected package libkrb5support0:i386. Preparing to unpack .../053-libkrb5support0_1.20.1-6+b1_i386.deb ... Unpacking libkrb5support0:i386 (1.20.1-6+b1) ... Selecting previously unselected package libcom-err2:i386. Preparing to unpack .../054-libcom-err2_1.47.1~rc2-1_i386.deb ... Unpacking libcom-err2:i386 (1.47.1~rc2-1) ... Selecting previously unselected package libk5crypto3:i386. Preparing to unpack .../055-libk5crypto3_1.20.1-6+b1_i386.deb ... Unpacking libk5crypto3:i386 (1.20.1-6+b1) ... Selecting previously unselected package libkeyutils1:i386. Preparing to unpack .../056-libkeyutils1_1.6.3-3_i386.deb ... Unpacking libkeyutils1:i386 (1.6.3-3) ... Selecting previously unselected package libkrb5-3:i386. Preparing to unpack .../057-libkrb5-3_1.20.1-6+b1_i386.deb ... Unpacking libkrb5-3:i386 (1.20.1-6+b1) ... Selecting previously unselected package libgssapi-krb5-2:i386. Preparing to unpack .../058-libgssapi-krb5-2_1.20.1-6+b1_i386.deb ... Unpacking libgssapi-krb5-2:i386 (1.20.1-6+b1) ... Selecting previously unselected package libsasl2-modules-db:i386. Preparing to unpack .../059-libsasl2-modules-db_2.1.28+dfsg1-6_i386.deb ... Unpacking libsasl2-modules-db:i386 (2.1.28+dfsg1-6) ... Selecting previously unselected package libsasl2-2:i386. Preparing to unpack .../060-libsasl2-2_2.1.28+dfsg1-6_i386.deb ... Unpacking libsasl2-2:i386 (2.1.28+dfsg1-6) ... Selecting previously unselected package libldap-2.5-0:i386. Preparing to unpack .../061-libldap-2.5-0_2.5.17+dfsg-1_i386.deb ... Unpacking libldap-2.5-0:i386 (2.5.17+dfsg-1) ... Selecting previously unselected package libnghttp2-14:i386. Preparing to unpack .../062-libnghttp2-14_1.61.0-1+b1_i386.deb ... Unpacking libnghttp2-14:i386 (1.61.0-1+b1) ... Selecting previously unselected package libpsl5t64:i386. Preparing to unpack .../063-libpsl5t64_0.21.2-1.1_i386.deb ... Unpacking libpsl5t64:i386 (0.21.2-1.1) ... Selecting previously unselected package librtmp1:i386. Preparing to unpack .../064-librtmp1_2.4+20151223.gitfa8646d.1-2+b4_i386.deb ... Unpacking librtmp1:i386 (2.4+20151223.gitfa8646d.1-2+b4) ... Selecting previously unselected package libssh2-1t64:i386. Preparing to unpack .../065-libssh2-1t64_1.11.0-4.1+b2_i386.deb ... Unpacking libssh2-1t64:i386 (1.11.0-4.1+b2) ... Selecting previously unselected package libcurl3t64-gnutls:i386. Preparing to unpack .../066-libcurl3t64-gnutls_8.7.1-5_i386.deb ... Unpacking libcurl3t64-gnutls:i386 (8.7.1-5) ... Selecting previously unselected package liberror-perl. Preparing to unpack .../067-liberror-perl_0.17029-2_all.deb ... Unpacking liberror-perl (0.17029-2) ... Selecting previously unselected package git-man. Preparing to unpack .../068-git-man_1%3a2.43.0-1_all.deb ... Unpacking git-man (1:2.43.0-1) ... Selecting previously unselected package git. Preparing to unpack .../069-git_1%3a2.43.0-1+b1_i386.deb ... Unpacking git (1:2.43.0-1+b1) ... Selecting previously unselected package libcdt5:i386. Preparing to unpack .../070-libcdt5_2.42.2-9+b1_i386.deb ... Unpacking libcdt5:i386 (2.42.2-9+b1) ... Selecting previously unselected package libcgraph6:i386. Preparing to unpack .../071-libcgraph6_2.42.2-9+b1_i386.deb ... Unpacking libcgraph6:i386 (2.42.2-9+b1) ... Selecting previously unselected package libaom3:i386. Preparing to unpack .../072-libaom3_3.8.2-2_i386.deb ... Unpacking libaom3:i386 (3.8.2-2) ... Selecting previously unselected package libdav1d7:i386. Preparing to unpack .../073-libdav1d7_1.4.1-1_i386.deb ... Unpacking libdav1d7:i386 (1.4.1-1) ... Selecting previously unselected package libabsl20230802:i386. Preparing to unpack .../074-libabsl20230802_20230802.1-4_i386.deb ... Unpacking libabsl20230802:i386 (20230802.1-4) ... Selecting previously unselected package libgav1-1:i386. Preparing to unpack .../075-libgav1-1_0.19.0-2+b1_i386.deb ... Unpacking libgav1-1:i386 (0.19.0-2+b1) ... Selecting previously unselected package librav1e0:i386. Preparing to unpack .../076-librav1e0_0.7.1-2_i386.deb ... Unpacking librav1e0:i386 (0.7.1-2) ... Selecting previously unselected package libsharpyuv0:i386. Preparing to unpack .../077-libsharpyuv0_1.4.0-0.1_i386.deb ... Unpacking libsharpyuv0:i386 (1.4.0-0.1) ... Selecting previously unselected package libjpeg62-turbo:i386. Preparing to unpack .../078-libjpeg62-turbo_1%3a2.1.5-3_i386.deb ... Unpacking libjpeg62-turbo:i386 (1:2.1.5-3) ... Selecting previously unselected package libyuv0:i386. Preparing to unpack .../079-libyuv0_0.0~git202401110.af6ac82-1_i386.deb ... Unpacking libyuv0:i386 (0.0~git202401110.af6ac82-1) ... Selecting previously unselected package libavif16:i386. Preparing to unpack .../080-libavif16_1.0.4-3_i386.deb ... Unpacking libavif16:i386 (1.0.4-3) ... Selecting previously unselected package libheif-plugin-dav1d:i386. Preparing to unpack .../081-libheif-plugin-dav1d_1.17.6-1+b2_i386.deb ... Unpacking libheif-plugin-dav1d:i386 (1.17.6-1+b2) ... Selecting previously unselected package libde265-0:i386. Preparing to unpack .../082-libde265-0_1.0.15-1+b1_i386.deb ... Unpacking libde265-0:i386 (1.0.15-1+b1) ... Selecting previously unselected package libheif-plugin-libde265:i386. Preparing to unpack .../083-libheif-plugin-libde265_1.17.6-1+b2_i386.deb ... Unpacking libheif-plugin-libde265:i386 (1.17.6-1+b2) ... Selecting previously unselected package libheif1:i386. Preparing to unpack .../084-libheif1_1.17.6-1+b2_i386.deb ... Unpacking libheif1:i386 (1.17.6-1+b2) ... Selecting previously unselected package libdeflate0:i386. Preparing to unpack .../085-libdeflate0_1.20-1_i386.deb ... Unpacking libdeflate0:i386 (1.20-1) ... Selecting previously unselected package libjbig0:i386. Preparing to unpack .../086-libjbig0_2.1-6.1+b1_i386.deb ... Unpacking libjbig0:i386 (2.1-6.1+b1) ... Selecting previously unselected package liblerc4:i386. Preparing to unpack .../087-liblerc4_4.0.0+ds-4+b1_i386.deb ... Unpacking liblerc4:i386 (4.0.0+ds-4+b1) ... Selecting previously unselected package libwebp7:i386. Preparing to unpack .../088-libwebp7_1.4.0-0.1_i386.deb ... Unpacking libwebp7:i386 (1.4.0-0.1) ... Selecting previously unselected package libtiff6:i386. Preparing to unpack .../089-libtiff6_4.5.1+git230720-4_i386.deb ... Unpacking libtiff6:i386 (4.5.1+git230720-4) ... Selecting previously unselected package libxau6:i386. Preparing to unpack .../090-libxau6_1%3a1.0.9-1+b1_i386.deb ... Unpacking libxau6:i386 (1:1.0.9-1+b1) ... Selecting previously unselected package libbsd0:i386. Preparing to unpack .../091-libbsd0_0.12.2-1_i386.deb ... Unpacking libbsd0:i386 (0.12.2-1) ... Selecting previously unselected package libxdmcp6:i386. Preparing to unpack .../092-libxdmcp6_1%3a1.1.2-3+b1_i386.deb ... Unpacking libxdmcp6:i386 (1:1.1.2-3+b1) ... Selecting previously unselected package libxcb1:i386. Preparing to unpack .../093-libxcb1_1.15-1_i386.deb ... Unpacking libxcb1:i386 (1.15-1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../094-libx11-data_2%3a1.8.7-1_all.deb ... Unpacking libx11-data (2:1.8.7-1) ... Selecting previously unselected package libx11-6:i386. Preparing to unpack .../095-libx11-6_2%3a1.8.7-1+b1_i386.deb ... Unpacking libx11-6:i386 (2:1.8.7-1+b1) ... Selecting previously unselected package libxpm4:i386. Preparing to unpack .../096-libxpm4_1%3a3.5.17-1+b1_i386.deb ... Unpacking libxpm4:i386 (1:3.5.17-1+b1) ... Selecting previously unselected package libgd3:i386. Preparing to unpack .../097-libgd3_2.3.3-9+b3_i386.deb ... Unpacking libgd3:i386 (2.3.3-9+b3) ... Selecting previously unselected package libglib2.0-0t64:i386. Preparing to unpack .../098-libglib2.0-0t64_2.80.2-1_i386.deb ... Unpacking libglib2.0-0t64:i386 (2.80.2-1) ... Selecting previously unselected package libgts-0.7-5t64:i386. Preparing to unpack .../099-libgts-0.7-5t64_0.7.6+darcs121130-5.2_i386.deb ... Unpacking libgts-0.7-5t64:i386 (0.7.6+darcs121130-5.2) ... Selecting previously unselected package libpixman-1-0:i386. Preparing to unpack .../100-libpixman-1-0_0.42.2-1+b1_i386.deb ... Unpacking libpixman-1-0:i386 (0.42.2-1+b1) ... Selecting previously unselected package libxcb-render0:i386. Preparing to unpack .../101-libxcb-render0_1.15-1_i386.deb ... Unpacking libxcb-render0:i386 (1.15-1) ... Selecting previously unselected package libxcb-shm0:i386. Preparing to unpack .../102-libxcb-shm0_1.15-1_i386.deb ... Unpacking libxcb-shm0:i386 (1.15-1) ... Selecting previously unselected package libxext6:i386. Preparing to unpack .../103-libxext6_2%3a1.3.4-1+b1_i386.deb ... Unpacking libxext6:i386 (2:1.3.4-1+b1) ... Selecting previously unselected package libxrender1:i386. Preparing to unpack .../104-libxrender1_1%3a0.9.10-1.1+b1_i386.deb ... Unpacking libxrender1:i386 (1:0.9.10-1.1+b1) ... Selecting previously unselected package libcairo2:i386. Preparing to unpack .../105-libcairo2_1.18.0-3+b1_i386.deb ... Unpacking libcairo2:i386 (1.18.0-3+b1) ... Selecting previously unselected package libltdl7:i386. Preparing to unpack .../106-libltdl7_2.4.7-7+b1_i386.deb ... Unpacking libltdl7:i386 (2.4.7-7+b1) ... Selecting previously unselected package libfribidi0:i386. Preparing to unpack .../107-libfribidi0_1.0.13-3+b1_i386.deb ... Unpacking libfribidi0:i386 (1.0.13-3+b1) ... Selecting previously unselected package libgraphite2-3:i386. Preparing to unpack .../108-libgraphite2-3_1.3.14-2_i386.deb ... Unpacking libgraphite2-3:i386 (1.3.14-2) ... Selecting previously unselected package libharfbuzz0b:i386. Preparing to unpack .../109-libharfbuzz0b_8.3.0-2+b1_i386.deb ... Unpacking libharfbuzz0b:i386 (8.3.0-2+b1) ... Selecting previously unselected package libthai-data. Preparing to unpack .../110-libthai-data_0.1.29-2_all.deb ... Unpacking libthai-data (0.1.29-2) ... Selecting previously unselected package libdatrie1:i386. Preparing to unpack .../111-libdatrie1_0.2.13-3_i386.deb ... Unpacking libdatrie1:i386 (0.2.13-3) ... Selecting previously unselected package libthai0:i386. Preparing to unpack .../112-libthai0_0.1.29-2_i386.deb ... Unpacking libthai0:i386 (0.1.29-2) ... Selecting previously unselected package libpango-1.0-0:i386. Preparing to unpack .../113-libpango-1.0-0_1.52.2+ds-1_i386.deb ... Unpacking libpango-1.0-0:i386 (1.52.2+ds-1) ... Selecting previously unselected package libpangoft2-1.0-0:i386. Preparing to unpack .../114-libpangoft2-1.0-0_1.52.2+ds-1_i386.deb ... Unpacking libpangoft2-1.0-0:i386 (1.52.2+ds-1) ... Selecting previously unselected package libpangocairo-1.0-0:i386. Preparing to unpack .../115-libpangocairo-1.0-0_1.52.2+ds-1_i386.deb ... Unpacking libpangocairo-1.0-0:i386 (1.52.2+ds-1) ... Selecting previously unselected package libpathplan4:i386. Preparing to unpack .../116-libpathplan4_2.42.2-9+b1_i386.deb ... Unpacking libpathplan4:i386 (2.42.2-9+b1) ... Selecting previously unselected package libgvc6. Preparing to unpack .../117-libgvc6_2.42.2-9+b1_i386.deb ... Unpacking libgvc6 (2.42.2-9+b1) ... Selecting previously unselected package libgvpr2:i386. Preparing to unpack .../118-libgvpr2_2.42.2-9+b1_i386.deb ... Unpacking libgvpr2:i386 (2.42.2-9+b1) ... Selecting previously unselected package liblab-gamut1:i386. Preparing to unpack .../119-liblab-gamut1_2.42.2-9+b1_i386.deb ... Unpacking liblab-gamut1:i386 (2.42.2-9+b1) ... Selecting previously unselected package x11-common. Preparing to unpack .../120-x11-common_1%3a7.7+23_all.deb ... Unpacking x11-common (1:7.7+23) ... Selecting previously unselected package libice6:i386. Preparing to unpack .../121-libice6_2%3a1.0.10-1+b1_i386.deb ... Unpacking libice6:i386 (2:1.0.10-1+b1) ... Selecting previously unselected package libsm6:i386. Preparing to unpack .../122-libsm6_2%3a1.2.3-1+b1_i386.deb ... Unpacking libsm6:i386 (2:1.2.3-1+b1) ... Selecting previously unselected package libxt6t64:i386. Preparing to unpack .../123-libxt6t64_1%3a1.2.1-1.2_i386.deb ... Unpacking libxt6t64:i386 (1:1.2.1-1.2) ... Selecting previously unselected package libxmu6:i386. Preparing to unpack .../124-libxmu6_2%3a1.1.3-3+b2_i386.deb ... Unpacking libxmu6:i386 (2:1.1.3-3+b2) ... Selecting previously unselected package libxaw7:i386. Preparing to unpack .../125-libxaw7_2%3a1.0.14-1+b2_i386.deb ... Unpacking libxaw7:i386 (2:1.0.14-1+b2) ... Selecting previously unselected package graphviz. Preparing to unpack .../126-graphviz_2.42.2-9+b1_i386.deb ... Unpacking graphviz (2.42.2-9+b1) ... Selecting previously unselected package iverilog. Preparing to unpack .../127-iverilog_12.0-2+b1_i386.deb ... Unpacking iverilog (12.0-2+b1) ... Selecting previously unselected package tex-common. Preparing to unpack .../128-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package libpaper1:i386. Preparing to unpack .../129-libpaper1_1.1.29+b1_i386.deb ... Unpacking libpaper1:i386 (1.1.29+b1) ... Selecting previously unselected package libpaper-utils. Preparing to unpack .../130-libpaper-utils_1.1.29+b1_i386.deb ... Unpacking libpaper-utils (1.1.29+b1) ... Selecting previously unselected package libkpathsea6:i386. Preparing to unpack .../131-libkpathsea6_2023.20230311.66589-9+b2_i386.deb ... Unpacking libkpathsea6:i386 (2023.20230311.66589-9+b2) ... Selecting previously unselected package libptexenc1:i386. Preparing to unpack .../132-libptexenc1_2023.20230311.66589-9+b2_i386.deb ... Unpacking libptexenc1:i386 (2023.20230311.66589-9+b2) ... Selecting previously unselected package libsynctex2:i386. Preparing to unpack .../133-libsynctex2_2023.20230311.66589-9+b2_i386.deb ... Unpacking libsynctex2:i386 (2023.20230311.66589-9+b2) ... Selecting previously unselected package libtexlua53-5:i386. Preparing to unpack .../134-libtexlua53-5_2023.20230311.66589-9+b2_i386.deb ... Unpacking libtexlua53-5:i386 (2023.20230311.66589-9+b2) ... Selecting previously unselected package t1utils. Preparing to unpack .../135-t1utils_1.41-4_i386.deb ... Unpacking t1utils (1.41-4) ... Selecting previously unselected package libpotrace0:i386. Preparing to unpack .../136-libpotrace0_1.16-2+b1_i386.deb ... Unpacking libpotrace0:i386 (1.16-2+b1) ... Selecting previously unselected package libteckit0:i386. Preparing to unpack .../137-libteckit0_2.5.12+ds1-1_i386.deb ... Unpacking libteckit0:i386 (2.5.12+ds1-1) ... Selecting previously unselected package libxi6:i386. Preparing to unpack .../138-libxi6_2%3a1.8.1-1_i386.deb ... Unpacking libxi6:i386 (2:1.8.1-1) ... Selecting previously unselected package libzzip-0-13t64:i386. Preparing to unpack .../139-libzzip-0-13t64_0.13.72+dfsg.1-1.2+b1_i386.deb ... Unpacking libzzip-0-13t64:i386 (0.13.72+dfsg.1-1.2+b1) ... Selecting previously unselected package texlive-binaries. Preparing to unpack .../140-texlive-binaries_2023.20230311.66589-9+b2_i386.deb ... Unpacking texlive-binaries (2023.20230311.66589-9+b2) ... Selecting previously unselected package xdg-utils. Preparing to unpack .../141-xdg-utils_1.1.3-4.1_all.deb ... Unpacking xdg-utils (1.1.3-4.1) ... Selecting previously unselected package texlive-base. Preparing to unpack .../142-texlive-base_2023.20240207-1_all.deb ... Unpacking texlive-base (2023.20240207-1) ... Selecting previously unselected package texlive-latex-base. Preparing to unpack .../143-texlive-latex-base_2023.20240207-1_all.deb ... Unpacking texlive-latex-base (2023.20240207-1) ... Selecting previously unselected package latexmk. Preparing to unpack .../144-latexmk_1%3a4.85-1_all.deb ... Unpacking latexmk (1:4.85-1) ... Selecting previously unselected package libapache-pom-java. Preparing to unpack .../145-libapache-pom-java_29-2_all.deb ... Unpacking libapache-pom-java (29-2) ... Selecting previously unselected package libio-string-perl. Preparing to unpack .../146-libio-string-perl_1.08-4_all.deb ... Unpacking libio-string-perl (1.08-4) ... Selecting previously unselected package liblatex-tounicode-perl. Preparing to unpack .../147-liblatex-tounicode-perl_0.54-2_all.deb ... Unpacking liblatex-tounicode-perl (0.54-2) ... Selecting previously unselected package libbibtex-parser-perl. Preparing to unpack .../148-libbibtex-parser-perl_1.04+dfsg-1_all.deb ... Unpacking libbibtex-parser-perl (1.04+dfsg-1) ... Selecting previously unselected package libbz2-dev:i386. Preparing to unpack .../149-libbz2-dev_1.0.8-5.1_i386.deb ... Unpacking libbz2-dev:i386 (1.0.8-5.1) ... Selecting previously unselected package libcommons-parent-java. Preparing to unpack .../150-libcommons-parent-java_56-1_all.deb ... Unpacking libcommons-parent-java (56-1) ... Selecting previously unselected package libcommons-logging-java. Preparing to unpack .../151-libcommons-logging-java_1.3.0-1_all.deb ... Unpacking libcommons-logging-java (1.3.0-1) ... Selecting previously unselected package libffi-dev:i386. Preparing to unpack .../152-libffi-dev_3.4.6-1_i386.deb ... Unpacking libffi-dev:i386 (3.4.6-1) ... Selecting previously unselected package libfontbox-java. Preparing to unpack .../153-libfontbox-java_1%3a1.8.16-5_all.deb ... Unpacking libfontbox-java (1:1.8.16-5) ... Selecting previously unselected package libfontenc1:i386. Preparing to unpack .../154-libfontenc1_1%3a1.1.8-1_i386.deb ... Unpacking libfontenc1:i386 (1:1.1.8-1) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../155-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../156-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../157-libjs-sphinxdoc_7.2.6-6_all.deb ... Unpacking libjs-sphinxdoc (7.2.6-6) ... Selecting previously unselected package libjson-perl. Preparing to unpack .../158-libjson-perl_4.10000-1_all.deb ... Unpacking libjson-perl (4.10000-1) ... Selecting previously unselected package liblcms2-2:i386. Preparing to unpack .../159-liblcms2-2_2.14-2+b1_i386.deb ... Unpacking liblcms2-2:i386 (2.14-2+b1) ... Selecting previously unselected package libncurses6:i386. Preparing to unpack .../160-libncurses6_6.4+20240414-1_i386.deb ... Unpacking libncurses6:i386 (6.4+20240414-1) ... Selecting previously unselected package libncurses-dev:i386. Preparing to unpack .../161-libncurses-dev_6.4+20240414-1_i386.deb ... Unpacking libncurses-dev:i386 (6.4+20240414-1) ... Selecting previously unselected package libnspr4:i386. Preparing to unpack .../162-libnspr4_2%3a4.35-1.1+b1_i386.deb ... Unpacking libnspr4:i386 (2:4.35-1.1+b1) ... Selecting previously unselected package libnss3:i386. Preparing to unpack .../163-libnss3_2%3a3.99-1_i386.deb ... Unpacking libnss3:i386 (2:3.99-1) ... Selecting previously unselected package libopenjp2-7:i386. Preparing to unpack .../164-libopenjp2-7_2.5.0-2+b3_i386.deb ... Unpacking libopenjp2-7:i386 (2.5.0-2+b3) ... Selecting previously unselected package libpdfbox-java. Preparing to unpack .../165-libpdfbox-java_1%3a1.8.16-5_all.deb ... Unpacking libpdfbox-java (1:1.8.16-5) ... Selecting previously unselected package libpkgconf3:i386. Preparing to unpack .../166-libpkgconf3_1.8.1-1+b2_i386.deb ... Unpacking libpkgconf3:i386 (1.8.1-1+b2) ... Selecting previously unselected package libpoppler126t64:i386. Preparing to unpack .../167-libpoppler126t64_22.12.0-2.2+b1_i386.deb ... Unpacking libpoppler126t64:i386 (22.12.0-2.2+b1) ... Selecting previously unselected package libpoppler-glib8t64:i386. Preparing to unpack .../168-libpoppler-glib8t64_22.12.0-2.2+b1_i386.deb ... Unpacking libpoppler-glib8t64:i386 (22.12.0-2.2+b1) ... Selecting previously unselected package libreadline-dev:i386. Preparing to unpack .../169-libreadline-dev_8.2-4_i386.deb ... Unpacking libreadline-dev:i386 (8.2-4) ... Selecting previously unselected package libtcl8.6:i386. Preparing to unpack .../170-libtcl8.6_8.6.14+dfsg-1_i386.deb ... Unpacking libtcl8.6:i386 (8.6.14+dfsg-1) ... Selecting previously unselected package libyaml-0-2:i386. Preparing to unpack .../171-libyaml-0-2_0.2.5-1+b1_i386.deb ... Unpacking libyaml-0-2:i386 (0.2.5-1+b1) ... Selecting previously unselected package xfonts-encodings. Preparing to unpack .../172-xfonts-encodings_1%3a1.0.4-2.2_all.deb ... Unpacking xfonts-encodings (1:1.0.4-2.2) ... Selecting previously unselected package xfonts-utils. Preparing to unpack .../173-xfonts-utils_1%3a7.7+6_i386.deb ... Unpacking xfonts-utils (1:7.7+6) ... Selecting previously unselected package lmodern. Preparing to unpack .../174-lmodern_2.005-1_all.deb ... Unpacking lmodern (2.005-1) ... Selecting previously unselected package pdf2svg. Preparing to unpack .../175-pdf2svg_0.2.3-5+b1_i386.deb ... Unpacking pdf2svg (0.2.3-5+b1) ... Selecting previously unselected package pkgconf-bin. Preparing to unpack .../176-pkgconf-bin_1.8.1-1+b2_i386.deb ... Unpacking pkgconf-bin (1.8.1-1+b2) ... Selecting previously unselected package pkgconf:i386. Preparing to unpack .../177-pkgconf_1.8.1-1+b2_i386.deb ... Unpacking pkgconf:i386 (1.8.1-1+b2) ... Selecting previously unselected package pkg-config:i386. Preparing to unpack .../178-pkg-config_1.8.1-1+b2_i386.deb ... Unpacking pkg-config:i386 (1.8.1-1+b2) ... Selecting previously unselected package preview-latex-style. Preparing to unpack .../179-preview-latex-style_13.2-1_all.deb ... Unpacking preview-latex-style (13.2-1) ... Selecting previously unselected package python-babel-localedata. Preparing to unpack .../180-python-babel-localedata_2.14.0-1_all.deb ... Unpacking python-babel-localedata (2.14.0-1) ... Selecting previously unselected package python3-alabaster. Preparing to unpack .../181-python3-alabaster_0.7.12-1_all.deb ... Unpacking python3-alabaster (0.7.12-1) ... Selecting previously unselected package python3-babel. Preparing to unpack .../182-python3-babel_2.14.0-1_all.deb ... Unpacking python3-babel (2.14.0-1) ... Selecting previously unselected package python3-certifi. Preparing to unpack .../183-python3-certifi_2023.11.17-1_all.deb ... Unpacking python3-certifi (2023.11.17-1) ... Selecting previously unselected package python3-chardet. Preparing to unpack .../184-python3-chardet_5.2.0+dfsg-1_all.deb ... Unpacking python3-chardet (5.2.0+dfsg-1) ... Selecting previously unselected package python3-charset-normalizer. Preparing to unpack .../185-python3-charset-normalizer_3.3.2-1_all.deb ... Unpacking python3-charset-normalizer (3.3.2-1) ... Selecting previously unselected package python3-roman. Preparing to unpack .../186-python3-roman_3.3-3_all.deb ... Unpacking python3-roman (3.3-3) ... Selecting previously unselected package python3-docutils. Preparing to unpack .../187-python3-docutils_0.20.1+dfsg-3_all.deb ... Unpacking python3-docutils (0.20.1+dfsg-3) ... Selecting previously unselected package python3-idna. Preparing to unpack .../188-python3-idna_3.6-2_all.deb ... Unpacking python3-idna (3.6-2) ... Selecting previously unselected package python3-imagesize. Preparing to unpack .../189-python3-imagesize_1.4.1-1_all.deb ... Unpacking python3-imagesize (1.4.1-1) ... Selecting previously unselected package python3-markupsafe. Preparing to unpack .../190-python3-markupsafe_2.1.5-1_i386.deb ... Unpacking python3-markupsafe (2.1.5-1) ... Selecting previously unselected package python3-jinja2. Preparing to unpack .../191-python3-jinja2_3.1.3-1_all.deb ... Unpacking python3-jinja2 (3.1.3-1) ... Selecting previously unselected package python3-latexcodec. Preparing to unpack .../192-python3-latexcodec_3.0.0-1_all.deb ... Unpacking python3-latexcodec (3.0.0-1) ... Selecting previously unselected package python3-packaging. Preparing to unpack .../193-python3-packaging_24.0-1_all.deb ... Unpacking python3-packaging (24.0-1) ... Selecting previously unselected package python3-six. Preparing to unpack .../194-python3-six_1.16.0-6_all.deb ... Unpacking python3-six (1.16.0-6) ... Selecting previously unselected package python3-yaml. Preparing to unpack .../195-python3-yaml_6.0.1-2_i386.deb ... Unpacking python3-yaml (6.0.1-2) ... Selecting previously unselected package python3-pybtex. Preparing to unpack .../196-python3-pybtex_0.24.0-4_all.deb ... Unpacking python3-pybtex (0.24.0-4) ... Selecting previously unselected package python3-pybtex-docutils. Preparing to unpack .../197-python3-pybtex-docutils_1.0.2-1_all.deb ... Unpacking python3-pybtex-docutils (1.0.2-1) ... Selecting previously unselected package python3-pygments. Preparing to unpack .../198-python3-pygments_2.17.2+dfsg-1_all.deb ... Unpacking python3-pygments (2.17.2+dfsg-1) ... Selecting previously unselected package python3-urllib3. Preparing to unpack .../199-python3-urllib3_1.26.18-2_all.deb ... Unpacking python3-urllib3 (1.26.18-2) ... Selecting previously unselected package python3-requests. Preparing to unpack .../200-python3-requests_2.31.0+dfsg-1_all.deb ... Unpacking python3-requests (2.31.0+dfsg-1) ... Selecting previously unselected package python3-snowballstemmer. Preparing to unpack .../201-python3-snowballstemmer_2.2.0-4_all.deb ... Unpacking python3-snowballstemmer (2.2.0-4) ... Selecting previously unselected package sphinx-common. Preparing to unpack .../202-sphinx-common_7.2.6-6_all.deb ... Unpacking sphinx-common (7.2.6-6) ... Selecting previously unselected package python3-sphinx. Preparing to unpack .../203-python3-sphinx_7.2.6-6_all.deb ... Unpacking python3-sphinx (7.2.6-6) ... Selecting previously unselected package python3-sphinx-press-theme. Preparing to unpack .../204-python3-sphinx-press-theme_0.8.0-2_all.deb ... Unpacking python3-sphinx-press-theme (0.8.0-2) ... Selecting previously unselected package python3-sphinxcontrib.bibtex. Preparing to unpack .../205-python3-sphinxcontrib.bibtex_2.6.2-1_all.deb ... Unpacking python3-sphinxcontrib.bibtex (2.6.2-1) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../206-tcl8.6_8.6.14+dfsg-1_i386.deb ... Unpacking tcl8.6 (8.6.14+dfsg-1) ... Selecting previously unselected package tcl. Preparing to unpack .../207-tcl_8.6.14_i386.deb ... Unpacking tcl (8.6.14) ... Selecting previously unselected package zlib1g-dev:i386. Preparing to unpack .../208-zlib1g-dev_1%3a1.3.dfsg-3.1_i386.deb ... Unpacking zlib1g-dev:i386 (1:1.3.dfsg-3.1) ... Selecting previously unselected package tcl8.6-dev:i386. Preparing to unpack .../209-tcl8.6-dev_8.6.14+dfsg-1_i386.deb ... Unpacking tcl8.6-dev:i386 (8.6.14+dfsg-1) ... Selecting previously unselected package tcl-dev:i386. Preparing to unpack .../210-tcl-dev_8.6.14_i386.deb ... Unpacking tcl-dev:i386 (8.6.14) ... Selecting previously unselected package tex-gyre. Preparing to unpack .../211-tex-gyre_20180621-6_all.deb ... Unpacking tex-gyre (20180621-6) ... Selecting previously unselected package texlive-bibtex-extra. Preparing to unpack .../212-texlive-bibtex-extra_2023.20240207-1_all.deb ... Unpacking texlive-bibtex-extra (2023.20240207-1) ... Selecting previously unselected package texlive-font-utils. Preparing to unpack .../213-texlive-font-utils_2023.20240207-1_all.deb ... Unpacking texlive-font-utils (2023.20240207-1) ... Selecting previously unselected package texlive-fonts-extra. Preparing to unpack .../214-texlive-fonts-extra_2023.20240207-1_all.deb ... Unpacking texlive-fonts-extra (2023.20240207-1) ... Selecting previously unselected package texlive-fonts-recommended. Preparing to unpack .../215-texlive-fonts-recommended_2023.20240207-1_all.deb ... Unpacking texlive-fonts-recommended (2023.20240207-1) ... Selecting previously unselected package texlive-lang-greek. Preparing to unpack .../216-texlive-lang-greek_2023.20240207-1_all.deb ... Unpacking texlive-lang-greek (2023.20240207-1) ... Selecting previously unselected package texlive-latex-recommended. Preparing to unpack .../217-texlive-latex-recommended_2023.20240207-1_all.deb ... Unpacking texlive-latex-recommended (2023.20240207-1) ... Selecting previously unselected package texlive-pictures. Preparing to unpack .../218-texlive-pictures_2023.20240207-1_all.deb ... Unpacking texlive-pictures (2023.20240207-1) ... Selecting previously unselected package texlive-latex-extra. Preparing to unpack .../219-texlive-latex-extra_2023.20240207-1_all.deb ... Unpacking texlive-latex-extra (2023.20240207-1) ... Selecting previously unselected package texlive-plain-generic. Preparing to unpack .../220-texlive-plain-generic_2023.20240207-1_all.deb ... Unpacking texlive-plain-generic (2023.20240207-1) ... Selecting previously unselected package texlive-publishers. Preparing to unpack .../221-texlive-publishers_2023.20240207-1_all.deb ... Unpacking texlive-publishers (2023.20240207-1) ... Selecting previously unselected package texlive-science. Preparing to unpack .../222-texlive-science_2023.20240207-1_all.deb ... Unpacking texlive-science (2023.20240207-1) ... Selecting previously unselected package txt2man. Preparing to unpack .../223-txt2man_1.7.1-4_all.deb ... Unpacking txt2man (1.7.1-4) ... Setting up media-types (10.1.0) ... Setting up libpipeline1:i386 (1.5.7-2) ... Setting up libgraphite2-3:i386 (1.3.14-2) ... Setting up liblcms2-2:i386 (2.14-2+b1) ... Setting up libpixman-1-0:i386 (0.42.2-1+b1) ... Setting up libsharpyuv0:i386 (1.4.0-0.1) ... Setting up libaom3:i386 (3.8.2-2) ... Setting up libxau6:i386 (1:1.0.9-1+b1) ... Setting up libkeyutils1:i386 (1.6.3-3) ... Setting up libicu72:i386 (72.1-4+b1) ... Setting up liblerc4:i386 (4.0.0+ds-4+b1) ... Setting up bsdextrautils (2.40-8) ... Setting up fonts-gfs-porson (1.1-7) ... Setting up libdatrie1:i386 (0.2.13-3) ... Setting up libmagic-mgc (1:5.45-3) ... Setting up gawk (1:5.2.1-2+b1) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libyaml-0-2:i386 (0.2.5-1+b1) ... Setting up libdebhelper-perl (13.15.3) ... Setting up libbrotli1:i386 (1.1.0-2+b3) ... Setting up libfontbox-java (1:1.8.16-5) ... Setting up liblatex-tounicode-perl (0.54-2) ... Setting up liblab-gamut1:i386 (2.42.2-9+b1) ... Setting up libmagic1t64:i386 (1:5.45-3) ... Setting up x11-common (1:7.7+23) ... invoke-rc.d: could not determine current runlevel Setting up X socket directories... /tmp/.X11-unix /tmp/.ICE-unix. Setting up libpsl5t64:i386 (0.21.2-1.1) ... Setting up libnghttp2-14:i386 (1.61.0-1+b1) ... Setting up libdeflate0:i386 (1.20-1) ... Setting up gettext-base (0.21-14+b1) ... Setting up m4 (1.4.19-4) ... Setting up libcom-err2:i386 (1.47.1~rc2-1) ... Setting up file (1:5.45-3) ... Setting up libffi-dev:i386 (3.4.6-1) ... Setting up libjbig0:i386 (2.1-6.1+b1) ... Setting up librav1e0:i386 (0.7.1-2) ... Setting up libelf1t64:i386 (0.191-1+b1) ... Setting up python-babel-localedata (2.14.0-1) ... Setting up libkrb5support0:i386 (1.20.1-6+b1) ... Setting up libsasl2-modules-db:i386 (2.1.28+dfsg1-6) ... Setting up tzdata (2024a-4) ... Current default time zone: 'Etc/UTC' Local time is now: Wed May 15 15:11:17 UTC 2024. Universal Time is now: Wed May 15 15:11:17 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17029-2) ... Setting up libio-string-perl (1.08-4) ... Setting up iverilog (12.0-2+b1) ... Setting up libfontenc1:i386 (1:1.1.8-1) ... Setting up autotools-dev (20220109.1) ... Setting up libglib2.0-0t64:i386 (2.80.2-1) ... No schema files found: doing nothing. Setting up libpkgconf3:i386 (1.8.1-1+b2) ... Setting up libjpeg62-turbo:i386 (1:2.1.5-3) ... Setting up libzzip-0-13t64:i386 (0.13.72+dfsg.1-1.2+b1) ... Setting up libx11-data (2:1.8.7-1) ... Setting up libnspr4:i386 (2:4.35-1.1+b1) ... Setting up librtmp1:i386 (2.4+20151223.gitfa8646d.1-2+b4) ... Setting up libteckit0:i386 (2.5.12+ds1-1) ... Setting up libpathplan4:i386 (2.42.2-9+b1) ... Setting up libapache-pom-java (29-2) ... Setting up libncurses6:i386 (6.4+20240414-1) ... Setting up xfonts-encodings (1:1.0.4-2.2) ... Setting up libfribidi0:i386 (1.0.13-3+b1) ... Setting up t1utils (1.41-4) ... Setting up libtexlua53-5:i386 (2023.20230311.66589-9+b2) ... Setting up fonts-dejavu-mono (2.37-8) ... Setting up libpng16-16t64:i386 (1.6.43-5) ... Setting up libtcl8.6:i386 (8.6.14+dfsg-1) ... Setting up autopoint (0.21-14) ... Setting up fonts-dejavu-core (2.37-8) ... Setting up pkgconf-bin (1.8.1-1+b2) ... Setting up libk5crypto3:i386 (1.20.1-6+b1) ... Setting up libltdl7:i386 (2.4.7-7+b1) ... Setting up libkpathsea6:i386 (2023.20230311.66589-9+b2) ... Setting up libsasl2-2:i386 (2.1.28+dfsg1-6) ... Setting up autoconf (2.71-3) ... Setting up libwebp7:i386 (1.4.0-0.1) ... Setting up zlib1g-dev:i386 (1:1.3.dfsg-3.1) ... Setting up dwz (0.15-1+b1) ... Setting up libdav1d7:i386 (1.4.1-1) ... Setting up fonts-gfs-baskerville (1.1-6) ... Setting up sensible-utils (0.0.22) ... Setting up libtiff6:i386 (4.5.1+git230720-4) ... Setting up libuchardet0:i386 (0.0.8-1+b1) ... Setting up libjson-perl (4.10000-1) ... Setting up bison (2:3.8.2+dfsg-1+b1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up fonts-lmodern (2.005-1) ... Setting up libopenjp2-7:i386 (2.5.0-2+b3) ... Setting up libsub-override-perl (0.10-1) ... Setting up git-man (1:2.43.0-1) ... Setting up libthai-data (0.1.29-2) ... Setting up netbase (6.4) ... Setting up libabsl20230802:i386 (20230802.1-4) ... Setting up libgts-0.7-5t64:i386 (0.7.6+darcs121130-5.2) ... Setting up sgml-base (1.31) ... Setting up libcdt5:i386 (2.42.2-9+b1) ... Setting up libkrb5-3:i386 (1.20.1-6+b1) ... Setting up libcgraph6:i386 (2.42.2-9+b1) ... Setting up libssh2-1t64:i386 (1.11.0-4.1+b2) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up libde265-0:i386 (1.0.15-1+b1) ... Setting up openssl (3.2.1-3) ... Setting up libbsd0:i386 (0.12.2-1) ... Setting up libyuv0:i386 (0.0~git202401110.af6ac82-1) ... Setting up libxml2:i386 (2.9.14+dfsg-1.3+b3) ... Setting up xdg-utils (1.1.3-4.1) ... update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode Setting up libsynctex2:i386 (2023.20230311.66589-9+b2) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up libbz2-dev:i386 (1.0.8-5.1) ... Setting up libpotrace0:i386 (1.16-2+b1) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libice6:i386 (2:1.0.10-1+b1) ... Setting up libxdmcp6:i386 (1:1.1.2-3+b1) ... Setting up flex (2.6.4-8.2+b2) ... Setting up tcl8.6 (8.6.14+dfsg-1) ... Setting up libncurses-dev:i386 (6.4+20240414-1) ... Setting up libxcb1:i386 (1.15-1) ... Setting up gettext (0.21-14+b1) ... Setting up libpdfbox-java (1:1.8.16-5) ... Setting up txt2man (1.7.1-4) ... Setting up libtool (2.4.7-7) ... Setting up libxcb-render0:i386 (1.15-1) ... Setting up fontconfig-config (2.15.0-1.1) ... Setting up libpython3.11-stdlib:i386 (3.11.9-1) ... Setting up tcl8.6-dev:i386 (8.6.14+dfsg-1) ... Setting up libcommons-parent-java (56-1) ... Setting up libcommons-logging-java (1.3.0-1) ... Setting up libnss3:i386 (2:3.99-1) ... Setting up libxcb-shm0:i386 (1.15-1) ... Setting up libreadline-dev:i386 (8.2-4) ... Setting up libbibtex-parser-perl (1.04+dfsg-1) ... Setting up libldap-2.5-0:i386 (2.5.17+dfsg-1) ... Setting up pkgconf:i386 (1.8.1-1+b2) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up libthai0:i386 (0.1.29-2) ... Setting up ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... 146 added, 0 removed; done. Setting up libptexenc1:i386 (2023.20230311.66589-9+b2) ... Setting up libfreetype6:i386 (2.13.2+dfsg-1+b4) ... Setting up libgssapi-krb5-2:i386 (1.20.1-6+b1) ... Setting up pkg-config:i386 (1.8.1-1+b2) ... Setting up libgav1-1:i386 (0.19.0-2+b1) ... Setting up ucf (3.0043+nmu1) ... Setting up libjs-sphinxdoc (7.2.6-6) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libgvpr2:i386 (2.42.2-9+b1) ... Setting up groff-base (1.23.0-4) ... Setting up xml-core (0.19) ... Setting up tcl (8.6.14) ... Setting up libx11-6:i386 (2:1.8.7-1+b1) ... Setting up libharfbuzz0b:i386 (8.3.0-2+b1) ... Setting up libfontconfig1:i386 (2.15.0-1.1) ... Setting up libsm6:i386 (2:1.2.3-1+b1) ... Setting up libpython3-stdlib:i386 (3.11.8-1) ... Setting up libpaper1:i386 (1.1.29+b1) ... Creating config file /etc/papersize with new version Setting up python3.11 (3.11.9-1) ... Setting up fontconfig (2.15.0-1.1) ... Regenerating fonts cache... done. Setting up libavif16:i386 (1.0.4-3) ... Setting up libxpm4:i386 (1:3.5.17-1+b1) ... Setting up libxrender1:i386 (1:0.9.10-1.1+b1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libpango-1.0-0:i386 (1.52.2+ds-1) ... Setting up libcurl3t64-gnutls:i386 (8.7.1-5) ... Setting up libxext6:i386 (2:1.3.4-1+b1) ... Setting up python3 (3.11.8-1) ... Setting up libpaper-utils (1.1.29+b1) ... Setting up xfonts-utils (1:7.7+6) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Setting up python3-markupsafe (2.1.5-1) ... Setting up libcairo2:i386 (1.18.0-3+b1) ... Setting up python3-six (1.16.0-6) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up libpoppler126t64:i386 (22.12.0-2.2+b1) ... Setting up python3-roman (3.3-3) ... Setting up python3-jinja2 (3.1.3-1) ... Setting up python3-packaging (24.0-1) ... Setting up python3-certifi (2023.11.17-1) ... Setting up python3-snowballstemmer (2.2.0-4) ... Setting up sphinx-common (7.2.6-6) ... Setting up libxt6t64:i386 (1:1.2.1-1.2) ... Setting up python3-idna (3.6-2) ... Setting up git (1:2.43.0-1+b1) ... Setting up tcl-dev:i386 (8.6.14) ... Setting up python3-urllib3 (1.26.18-2) ... Setting up lmodern (2.005-1) ... Setting up libpangoft2-1.0-0:i386 (1.52.2+ds-1) ... Setting up python3-lib2to3 (3.12.3-1) ... Setting up tex-gyre (20180621-6) ... Setting up libpangocairo-1.0-0:i386 (1.52.2+ds-1) ... Setting up python3-imagesize (1.4.1-1) ... Setting up python3-pkg-resources (68.1.2-2) ... Setting up python3-distutils (3.12.3-1) ... Setting up libxmu6:i386 (2:1.1.3-3+b2) ... Setting up python3-setuptools (68.1.2-2) ... Setting up libxi6:i386 (2:1.8.1-1) ... Setting up python3-babel (2.14.0-1) ... update-alternatives: using /usr/bin/pybabel-python3 to provide /usr/bin/pybabel (pybabel) in auto mode Setting up python3-charset-normalizer (3.3.2-1) ... Setting up python3-alabaster (0.7.12-1) ... Setting up preview-latex-style (13.2-1) ... Setting up python3-latexcodec (3.0.0-1) ... Setting up python3-yaml (6.0.1-2) ... Setting up debhelper (13.15.3) ... Setting up libxaw7:i386 (2:1.0.14-1+b2) ... Setting up libpoppler-glib8t64:i386 (22.12.0-2.2+b1) ... Setting up python3-pygments (2.17.2+dfsg-1) ... Setting up python3-chardet (5.2.0+dfsg-1) ... Setting up python3-requests (2.31.0+dfsg-1) ... Setting up python3-pybtex (0.24.0-4) ... Setting up texlive-binaries (2023.20230311.66589-9+b2) ... update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode Setting up texlive-base (2023.20240207-1) ... tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex Setting up dh-python (6.20240422) ... Setting up pdf2svg (0.2.3-5+b1) ... Setting up texlive-plain-generic (2023.20240207-1) ... Setting up texlive-lang-greek (2023.20240207-1) ... Setting up texlive-font-utils (2023.20240207-1) ... Setting up texlive-latex-base (2023.20240207-1) ... Setting up texlive-fonts-extra (2023.20240207-1) ... Setting up texlive-latex-recommended (2023.20240207-1) ... Setting up texlive-pictures (2023.20240207-1) ... Setting up texlive-fonts-recommended (2023.20240207-1) ... Setting up texlive-publishers (2023.20240207-1) ... Setting up texlive-science (2023.20240207-1) ... Setting up latexmk (1:4.85-1) ... Setting up texlive-latex-extra (2023.20240207-1) ... Setting up texlive-bibtex-extra (2023.20240207-1) ... Setting up libheif-plugin-dav1d:i386 (1.17.6-1+b2) ... Setting up libheif-plugin-libde265:i386 (1.17.6-1+b2) ... Setting up libheif1:i386 (1.17.6-1+b2) ... Setting up libgd3:i386 (2.3.3-9+b3) ... Setting up libgvc6 (2.42.2-9+b1) ... Setting up graphviz (2.42.2-9+b1) ... Processing triggers for libc-bin (2.38-10) ... Processing triggers for sgml-base (1.31) ... Setting up docutils-common (0.20.1+dfsg-3) ... Processing triggers for sgml-base (1.31) ... Setting up python3-docutils (0.20.1+dfsg-3) ... Setting up python3-pybtex-docutils (1.0.2-1) ... Setting up python3-sphinx (7.2.6-6) ... Setting up python3-sphinxcontrib.bibtex (2.6.2-1) ... Setting up python3-sphinx-press-theme (0.8.0-2) ... Processing triggers for ca-certificates (20240203) ... Updating certificates in /etc/ssl/certs... 0 added, 0 removed; done. Running hooks in /etc/ca-certificates/update.d... done. Processing triggers for tex-common (6.18) ... Running updmap-sys. This may take some time... done. Running mktexlsr /var/lib/texmf ... done. Building format(s) --all. This may take some time... done. Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: user script /srv/workspace/pbuilder/29600/tmp/hooks/A99_set_merged_usr starting Not re-configuring usrmerge for trixie I: user script /srv/workspace/pbuilder/29600/tmp/hooks/A99_set_merged_usr finished hostname: Name or service not known I: Running cd /build/reproducible-path/yosys-0.33/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.33-5_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.33-5 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Daniel Gröber dpkg-source --before-build . dpkg-buildpackage: info: host architecture i386 dpkg-source: info: using options from yosys-0.33/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$ debian/rules clean PREFIX=/usr dh clean --with=python3 dh_auto_clean make -j10 clean make[1]: Entering directory '/build/reproducible-path/yosys-0.33' rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/binding.h share/include/kernel/register.h share/include/kernel/cellaigs.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/kernel/qcsat.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/fstdata.h share/include/kernel/mem.h share/include/kernel/yw.h share/include/kernel/json.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[2]: Entering directory '/build/reproducible-path/yosys-0.33/docs' rm -rf build/* make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/docs' make -C docs/images clean make[2]: Entering directory '/build/reproducible-path/yosys-0.33/docs/images' rm -f *.log rm -f *.aux rm -f 011/*.log 011/*.aux rm -f *.pdf rm -f *.svg rm -f 011/*.pdf 011/*.svg make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/images' rm -rf docs/source/cmd docs/util/__pycache__ make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' debian/rules execute_after_dh_auto_clean make[1]: Entering directory '/build/reproducible-path/yosys-0.33' rm -f debian/man/*.1 git --git-dir=debian/git-repo init hint: Using 'master' as the name for the initial branch. This default branch name hint: is subject to change. To configure the initial branch name to use in all hint: of your new repositories, which will suppress this warning, call: hint: hint: git config --global init.defaultBranch hint: hint: Names commonly chosen instead of 'master' are 'main', 'trunk' and hint: 'development'. The just-created branch can be renamed via this command: hint: hint: git branch -m Initialized empty Git repository in /build/reproducible-path/yosys-0.33/debian/git-repo/ git --git-dir=debian/git-repo --work-tree=. clean -Xdf \ -e '!/abc' \ -e '!/tests/simple_abc9/abc9.v' rm -rf debian/git-repo rm -f abc/abc-* # Help with transition from earlier versions of this package rm -rf debian/yosys-src.substvars debian/yosys-src/ rm -rf debian/yosys-tests.substvars debian/yosys-tests/ make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' dh_clean rm -f debian/debhelper-build-stamp rm -rf debian/.debhelper/ rm -f -- debian/yosys.substvars debian/yosys-dev.substvars debian/yosys-abc.substvars debian/yosys-doc.substvars debian/files rm -fr -- debian/yosys/ debian/tmp/ debian/yosys-dev/ debian/yosys-abc/ debian/yosys-doc/ find . \( \( \ \( -path .\*/.git -o -path .\*/.svn -o -path .\*/.bzr -o -path .\*/.hg -o -path .\*/CVS -o -path .\*/.pc -o -path .\*/_darcs \) -prune -o -type f -a \ \( -name '#*#' -o -name '.*~' -o -name '*~' -o -name DEADJOE \ -o -name '*.orig' -o -name '*.rej' -o -name '*.bak' \ -o -name '.*.orig' -o -name .*.rej -o -name '.SUMS' \ -o -name TAGS -o \( -path '*/.deps/*' -a -name '*.P' \) \ \) -exec rm -f {} + \) -o \ \( -type d -a \( -name autom4te.cache -o -name __pycache__ \) -prune -exec rm -rf {} + \) \) debian/rules binary PREFIX=/usr dh binary --with=python3 dh_update_autotools_config dh_autoreconf debian/rules override_dh_auto_configure make[1]: Entering directory '/build/reproducible-path/yosys-0.33' printf '%s\n' \ 'CONFIG := gcc' \ 'ABCPULL=0' \ 'STRIP=:' \ > Makefile.conf make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.33' dh_auto_build -- all make -j10 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/reproducible-path/yosys-0.33' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.33 (git sha1 2584903a060)\"; }" > kernel/version_2584903a060.cc gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ mkdir -p kernel/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ gcc -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/yw.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ gcc -o kernel/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ gcc -o kernel/fmt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ gcc -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ gcc -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc frontends/ast/simplify.cc: In member function 'void Yosys::AST::AstNode::annotateTypedEnums(Yosys::AST::AstNode*)': frontends/ast/simplify.cc:118:71: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector::size_type' {aka 'unsigned int'} [-Wformat=] 118 | log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n", | ~~^ | | | long unsigned int | %u 119 | enum_item->children.size(), | ~~~~~~~~~~~~~~~~~~~~~~~~~~ | | | std::vector::size_type {aka unsigned int} mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc kernel/satgen.cc: In member function 'Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here 1231 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here 1259 | int undef_srst; | ^~~~~~~~~~ mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/exec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/viz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/autoname.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitcells.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/portlist.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ gcc -o passes/cmds/printattrs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ gcc -o passes/cmds/sta.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ gcc -o passes/cmds/clean_zerowidth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ gcc -o passes/cmds/xprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_narrow.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_libmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc passes/memory/memory_libmap.cc: In member function 'void {anonymous}::MemMapping::assign_wr_ports()': passes/memory/memory_libmap.cc:650:76: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector<{anonymous}::MemConfig>::size_type' {aka 'unsigned int'} [-Wformat=] 650 | log_reject(stringf("Assigning write ports... (candidate configs: %lu)", cfgs.size())); | ~~^ ~~~~~~~~~~~ | | | | | std::vector<{anonymous}::MemConfig>::size_type {aka unsigned int} | long unsigned int | %u passes/memory/memory_libmap.cc: In member function 'void {anonymous}::MemMapping::assign_rd_ports()': passes/memory/memory_libmap.cc:699:75: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector<{anonymous}::MemConfig>::size_type' {aka 'unsigned int'} [-Wformat=] 699 | log_reject(stringf("Assigning read ports... (candidate configs: %lu)", cfgs.size())); | ~~^ ~~~~~~~~~~~ | | | | | std::vector<{anonymous}::MemConfig>::size_type {aka unsigned int} | long unsigned int | %u passes/memory/memory_libmap.cc: In member function 'void {anonymous}::MemMapping::handle_trans()': passes/memory/memory_libmap.cc:860:76: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector<{anonymous}::MemConfig>::size_type' {aka 'unsigned int'} [-Wformat=] 860 | log_reject(stringf("Handling transparency... (candidate configs: %lu)", cfgs.size())); | ~~^ ~~~~~~~~~~~ | | | | | std::vector<{anonymous}::MemConfig>::size_type {aka unsigned int} | long unsigned int | %u mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut_ins.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_ffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_srl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_prune.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/formalff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ gcc -o passes/sat/fminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/recover_names.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc In file included from ./kernel/yosys.h:300, from passes/sat/recover_names.cc:20: passes/sat/recover_names.cc: In member function 'void {anonymous}::RecoverNamesWorker::analyse_mod(Yosys::RTLIL::Module*)': passes/sat/recover_names.cc:633:27: warning: format '%lx' expects argument of type 'long unsigned int', but argument 2 has type 'long long unsigned int' [-Wformat=] 633 | log_debug("equivalence class: %016lx\n", cls.first); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~ | | | long long unsigned int ./kernel/log.h:151:52: note: in definition of macro 'log_debug' 151 | # define log_debug(...) do { if (ys_debug(1)) log(__VA_ARGS__); } while (0) | ^~~~~~~~~~~ passes/sat/recover_names.cc:633:52: note: format string is defined here 633 | log_debug("equivalence class: %016lx\n", cls.first); | ~~~~~^ | | | long unsigned int | %016llx mkdir -p passes/sat/ gcc -o passes/sat/synthprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_exe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_ops.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/clkbufmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/demuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bwmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflegalize.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffunmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extractinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ gcc -o backends/aiger/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ gcc -o backends/jny/jny.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ gcc -o backends/rtlil/rtlil_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/synth_efinix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/efinix_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ gcc -o techlibs/fabulous/synth_fabulous.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/synth_gatemate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/gatemate_foldinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ gcc -o techlibs/intel_alm/synth_intel_alm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/synth_lattice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ gcc -o techlibs/lattice/lattice_gsr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/nexus/ gcc -o techlibs/nexus/synth_nexus.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ gcc -o techlibs/quicklogic/synth_quicklogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/xilinx_dffopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config if test -d abc/.hg; then \ echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \ fi if test -d abc && test -d abc/.git && ! git -C abc diff-index --quiet HEAD; then \ echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \ fi if test -d abc && ! test -d abc/.git && ! test "`cat abc/.gitcommit | cut -c1-7`" = "bb64142"; then \ echo 'REEBE: Qbjaybnqrq NOP irefvbaf qbrf abg zngpu! Qbjaybnq sebz:' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; echo https://github.com/YosysHQ/abc/archive/bb64142.tar.gz; false; \ fi if test -d abc && ! test -d abc/.git && test "`cat abc/.gitcommit | cut -c1-7`" = "bb64142"; then \ echo "Compiling local copy of ABC"; \ elif ! (cd abc 2> /dev/null && rev="`git rev-parse bb64142`" && test "`git rev-parse HEAD`" = "$rev"); then \ test 0 -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \ echo "Pulling ABC from https://github.com/YosysHQ/abc:"; set -x; \ test -d abc || git clone https://github.com/YosysHQ/abc abc; \ cd abc && make DEP= clean && git fetch https://github.com/YosysHQ/abc && git checkout bb64142; \ fi Compiling local copy of ABC rm -f abc/abc-[0-9a-f]* make -C abc CC="gcc" CXX="gcc" ABC_USE_LIBSTDCXX=1 ABC_USE_NAMESPACE=abc VERBOSE= ARCHFLAGS="-DABC_USE_STDINT_H """ PROG="abc-bb64142" MSG_PREFIX="-> ABC: " make[3]: Entering directory '/build/reproducible-path/yosys-0.33/abc' -> ABC: Using CC=gcc -> ABC: Using CXX=gcc -> ABC: Using AR=ar -> ABC: Using LD=gcc mkdir -p passes/techmap/ -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -> ABC: Found GCC_VERSION 13 gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -> ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c ./depends.sh "gcc" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c ./depends.sh "gcc" `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c ./depends.sh "gcc" `dirname src/bdd/llb/llb4Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c ./depends.sh "gcc" `dirname src/bdd/llb/llb4Cex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h -> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c ./depends.sh "gcc" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc -> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c ./depends.sh "gcc" `dirname src/bdd/llb/llb3Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/kernel/ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c cp "./"/kernel/json.h share/include/kernel/json.h ./depends.sh "gcc" `dirname src/bdd/llb/llb2Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c ./depends.sh "gcc" `dirname src/bdd/llb/llb2Flow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 -> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c cp "./"/backends/smt2/ywio.py share/python3/ywio.py ./depends.sh "gcc" `dirname src/bdd/llb/llb2Dump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic -> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c ./depends.sh "gcc" `dirname src/bdd/llb/llb2Driver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share -> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c ./depends.sh "gcc" `dirname src/bdd/llb/llb2Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share -> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c ./depends.sh "gcc" `dirname src/bdd/llb/llb2Bad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share/coolrunner2 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v -> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Sched.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh ./depends.sh "gcc" `dirname src/bdd/llb/llb1Reach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v -> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v -> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v -> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Man.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d mkdir -p share/ecp5 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Hint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d mkdir -p share/ecp5 -> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/bdd/llb/llb1Group.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d mkdir -p share/ecp5 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v -> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v ./depends.sh "gcc" `dirname src/bdd/llb/llb1Constr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v -> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c ./depends.sh "gcc" `dirname src/bdd/bbr/bbrReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous -> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c ./depends.sh "gcc" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v -> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c mkdir -p share/fabulous -> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c ./depends.sh "gcc" `dirname src/bdd/bbr/bbrImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d ./depends.sh "gcc" `dirname src/bdd/bbr/bbrCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous -> ABC: `` Generating dependency: /src/bdd/cas/casDec.c ./depends.sh "gcc" `dirname src/bdd/cas/casDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cas/casCore.c ./depends.sh "gcc" `dirname src/bdd/cas/casCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v -> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c mkdir -p share/gatemate ./depends.sh "gcc" `dirname src/bdd/reo/reoUnits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d -> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v ./depends.sh "gcc" `dirname src/bdd/reo/reoTransfer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d mkdir -p share/gatemate -> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c ./depends.sh "gcc" `dirname src/bdd/reo/reoSwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c ./depends.sh "gcc" `dirname src/bdd/reo/reoSift.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate -> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c ./depends.sh "gcc" `dirname src/bdd/reo/reoShuffle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v -> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c ./depends.sh "gcc" `dirname src/bdd/reo/reoProfile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d mkdir -p share/gatemate mkdir -p share/gatemate cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v -> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c ./depends.sh "gcc" `dirname src/bdd/reo/reoCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c -> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c ./depends.sh "gcc" `dirname src/bdd/reo/reoApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d mkdir -p share/gatemate ./depends.sh "gcc" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh -> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c ./depends.sh "gcc" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/epd/epd.c -> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c ./depends.sh "gcc" `dirname src/bdd/epd/epd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d ./depends.sh "gcc" `dirname src/bdd/dsd/dsdTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d -> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c ./depends.sh "gcc" `dirname src/bdd/dsd/dsdProc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c touch techlibs/gatemate/lut_tree_lib.mk ./depends.sh "gcc" `dirname src/bdd/dsd/dsdMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d mkdir -p share/gowin -> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c ./depends.sh "gcc" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v -> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c mkdir -p share/gowin ./depends.sh "gcc" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v -> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c ./depends.sh "gcc" `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c mkdir -p share/greenpak4 ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d mkdir -p share/ice40 -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ice40 -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ice40 -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d mkdir -p share/ice40 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/common cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d mkdir -p share/intel/common cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d mkdir -p share/intel/cyclone10lp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp -> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d mkdir -p share/intel/cycloneive -> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c mkdir -p share/intel_alm/common ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common -> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c mkdir -p share/intel_alm/cyclonev ./depends.sh "gcc" `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice -> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh ./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v ./depends.sh "gcc" `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice -> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v ./depends.sh "gcc" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d ./depends.sh "gcc" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddClip.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d mkdir -p share/lattice -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c mkdir -p share/lattice ./depends.sh "gcc" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddApa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/nexus cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v mkdir -p share/quicklogic -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c ./depends.sh "gcc" `dirname src/aig/hop/hopUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c ./depends.sh "gcc" `dirname src/aig/hop/hopTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d mkdir -p share/quicklogic cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTable.c ./depends.sh "gcc" `dirname src/aig/hop/hopTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d mkdir -p share/quicklogic cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v -> ABC: `` Generating dependency: /src/aig/hop/hopOper.c -> ABC: `` Generating dependency: /src/aig/hop/hopObj.c ./depends.sh "gcc" `dirname src/aig/hop/hopOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d mkdir -p share/quicklogic ./depends.sh "gcc" `dirname src/aig/hop/hopObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v mkdir -p share/quicklogic cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c ./depends.sh "gcc" `dirname src/aig/hop/hopMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c ./depends.sh "gcc" `dirname src/aig/hop/hopMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v mkdir -p share/sf2 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c ./depends.sh "gcc" `dirname src/aig/hop/hopDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 -> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v -> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c ./depends.sh "gcc" `dirname src/aig/hop/hopCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/sf2 ./depends.sh "gcc" `dirname src/aig/hop/hopBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c ./depends.sh "gcc" `dirname src/aig/ivy/ivySeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt -> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyRwr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyResyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt -> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/aig/ivy/ivyHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/aig/ivy/ivyDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v -> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v -> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c ./depends.sh "gcc" `dirname src/aig/gia/giaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c ./depends.sh "gcc" `dirname src/aig/gia/giaUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaTsim.c ./depends.sh "gcc" `dirname src/aig/gia/giaTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d mkdir -p share/xilinx mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c ./depends.sh "gcc" `dirname src/aig/gia/giaTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d ./depends.sh "gcc" `dirname src/aig/gia/giaTranStoch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c ./depends.sh "gcc" `dirname src/aig/gia/giaTis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTim.c ./depends.sh "gcc" `dirname src/aig/gia/giaTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c ./depends.sh "gcc" `dirname src/aig/gia/giaSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c ./depends.sh "gcc" `dirname src/aig/gia/giaSweeper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d mkdir -p kernel/ -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c ./depends.sh "gcc" `dirname src/aig/gia/giaSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d gcc -o kernel/version_2584903a060.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_2584903a060.cc mkdir -p kernel/ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupps.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p frontends/rtlil/ -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c ./depends.sh "gcc" `dirname src/aig/gia/giaStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c ./depends.sh "gcc" `dirname src/aig/gia/giaStoch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c ./depends.sh "gcc" `dirname src/aig/gia/giaStg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d mkdir -p frontends/rtlil/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c ./depends.sh "gcc" `dirname src/aig/gia/giaSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d -> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c ./depends.sh "gcc" `dirname src/aig/gia/giaSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSort.c ./depends.sh "gcc" `dirname src/aig/gia/giaSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c ./depends.sh "gcc" `dirname src/aig/gia/giaSimBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d -> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c ./depends.sh "gcc" `dirname src/aig/gia/giaSim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c ./depends.sh "gcc" `dirname src/aig/gia/giaSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSif.c ./depends.sh "gcc" `dirname src/aig/gia/giaSif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d -> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink7.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -> ABC: `` Generating dependency: /src/aig/gia/giaShow.c ./depends.sh "gcc" `dirname src/aig/gia/giaShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d -> ABC: `` Generating dependency: /src/aig/gia/giaScript.c ./depends.sh "gcc" `dirname src/aig/gia/giaScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c ./depends.sh "gcc" `dirname src/aig/gia/giaScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p passes/pmgen/ -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc ./depends.sh "gcc" `dirname src/aig/gia/giaSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatSyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatoko.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatLE.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c ./depends.sh "gcc" `dirname src/aig/gia/giaRex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c ./depends.sh "gcc" `dirname src/aig/gia/giaRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p ./ gcc -o yosys-filterlib -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c ./depends.sh "gcc" `dirname src/aig/gia/giaReshape2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c ./depends.sh "gcc" `dirname src/aig/gia/giaReshape1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c ./depends.sh "gcc" `dirname src/aig/gia/giaQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c ./depends.sh "gcc" `dirname src/aig/gia/giaPf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c ./depends.sh "gcc" `dirname src/aig/gia/giaPat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat.c ./depends.sh "gcc" `dirname src/aig/gia/giaPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPack.c ./depends.sh "gcc" `dirname src/aig/gia/giaPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/aig/gia/giaOf.c ./depends.sh "gcc" `dirname src/aig/gia/giaOf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaNf.c ./depends.sh "gcc" `dirname src/aig/gia/giaNf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c ./depends.sh "gcc" `dirname src/aig/gia/giaMuxes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c ./depends.sh "gcc" `dirname src/aig/gia/giaMinLut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaMinLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMini.c ./depends.sh "gcc" `dirname src/aig/gia/giaMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c ./depends.sh "gcc" `dirname src/aig/gia/giaMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c ./depends.sh "gcc" `dirname src/aig/gia/giaMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c ./depends.sh "gcc" `dirname src/aig/gia/giaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMf.c ./depends.sh "gcc" `dirname src/aig/gia/giaMf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaLf.c ./depends.sh "gcc" `dirname src/aig/gia/giaLf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaKf.c ./depends.sh "gcc" `dirname src/aig/gia/giaKf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d -> ABC: `` Generating dependency: /src/aig/gia/giaJf.c ./depends.sh "gcc" `dirname src/aig/gia/giaJf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c -> ABC: `` Generating dependency: /src/aig/gia/giaIff.c ./depends.sh "gcc" `dirname src/aig/gia/giaIiff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d ./depends.sh "gcc" `dirname src/aig/gia/giaIff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIf.c ./depends.sh "gcc" `dirname src/aig/gia/giaIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d -> ABC: `` Generating dependency: /src/aig/gia/giaHash.c ./depends.sh "gcc" `dirname src/aig/gia/giaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c ./depends.sh "gcc" `dirname src/aig/gia/giaGlitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGig.c ./depends.sh "gcc" `dirname src/aig/gia/giaGig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGen.c ./depends.sh "gcc" `dirname src/aig/gia/giaGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFx.c ./depends.sh "gcc" `dirname src/aig/gia/giaFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFront.c ./depends.sh "gcc" `dirname src/aig/gia/giaFront.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c ./depends.sh "gcc" `dirname src/aig/gia/giaFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaForce.c ./depends.sh "gcc" `dirname src/aig/gia/giaForce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c ./depends.sh "gcc" `dirname src/aig/gia/giaFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d -> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c ./depends.sh "gcc" `dirname src/aig/gia/giaFalse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaExist.c ./depends.sh "gcc" `dirname src/aig/gia/giaExist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d -> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c ./depends.sh "gcc" `dirname src/aig/gia/giaEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d -> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c ./depends.sh "gcc" `dirname src/aig/gia/giaEra2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEra.c ./depends.sh "gcc" `dirname src/aig/gia/giaEra.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d -> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c ./depends.sh "gcc" `dirname src/aig/gia/giaEquiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c ./depends.sh "gcc" `dirname src/aig/gia/giaEnable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c ./depends.sh "gcc" `dirname src/aig/gia/giaEmbed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d -> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDup.c ./depends.sh "gcc" `dirname src/aig/gia/giaDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c ./depends.sh "gcc" `dirname src/aig/gia/giaDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d -> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c ./depends.sh "gcc" `dirname src/aig/gia/giaDeep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c ./depends.sh "gcc" `dirname src/aig/gia/giaDecs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCut.c ./depends.sh "gcc" `dirname src/aig/gia/giaCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d -> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/aig/gia/giaCTas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSatP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSatOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCone.c ./depends.sh "gcc" `dirname src/aig/gia/giaCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCof.c ./depends.sh "gcc" `dirname src/aig/gia/giaCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaClp.c ./depends.sh "gcc" `dirname src/aig/gia/giaClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d -> ABC: `` Generating dependency: /src/aig/gia/giaCex.c ./depends.sh "gcc" `dirname src/aig/gia/giaCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c ./depends.sh "gcc" `dirname src/aig/gia/giaCCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c ./depends.sh "gcc" `dirname src/aig/gia/giaBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c ./depends.sh "gcc" `dirname src/aig/gia/giaBalMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaBalLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c ./depends.sh "gcc" `dirname src/aig/gia/giaBalAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c ./depends.sh "gcc" `dirname src/aig/gia/giaAigerExt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d -> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c ./depends.sh "gcc" `dirname src/aig/gia/giaAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c ./depends.sh "gcc" `dirname src/aig/gia/giaAgi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAig.c ./depends.sh "gcc" `dirname src/aig/gia/giaAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d -> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c ./depends.sh "gcc" `dirname src/aig/saig/saigWnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d -> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c ./depends.sh "gcc" `dirname src/aig/saig/saigTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c ./depends.sh "gcc" `dirname src/aig/saig/saigTempor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c ./depends.sh "gcc" `dirname src/aig/saig/saigSynch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d -> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c ./depends.sh "gcc" `dirname src/aig/saig/saigSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c -> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c ./depends.sh "gcc" `dirname src/aig/saig/saigStrSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d ./depends.sh "gcc" `dirname src/aig/saig/saigSimSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c ./depends.sh "gcc" `dirname src/aig/saig/saigSimMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d -> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c ./depends.sh "gcc" `dirname src/aig/saig/saigSimFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigScl.c ./depends.sh "gcc" `dirname src/aig/saig/saigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c -> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c ./depends.sh "gcc" `dirname src/aig/saig/saigRetStep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d ./depends.sh "gcc" `dirname src/aig/saig/saigRetMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c ./depends.sh "gcc" `dirname src/aig/saig/saigRetFwd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d -> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c ./depends.sh "gcc" `dirname src/aig/saig/saigPhase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c ./depends.sh "gcc" `dirname src/aig/saig/saigOutDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d -> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c ./depends.sh "gcc" `dirname src/aig/saig/saigMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c ./depends.sh "gcc" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c ./depends.sh "gcc" `dirname src/aig/saig/saigIsoFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIso.c ./depends.sh "gcc" `dirname src/aig/saig/saigIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d -> ABC: `` Generating dependency: /src/aig/saig/saigIoa.c ./depends.sh "gcc" `dirname src/aig/saig/saigIoa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d -> ABC: `` Generating dependency: /src/aig/saig/saigInd.c ./depends.sh "gcc" `dirname src/aig/saig/saigInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigDup.c ./depends.sh "gcc" `dirname src/aig/saig/saigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c ./depends.sh "gcc" `dirname src/aig/saig/saigDual.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d -> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c ./depends.sh "gcc" `dirname src/aig/saig/saigConstr2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c ./depends.sh "gcc" `dirname src/aig/saig/saigConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c ./depends.sh "gcc" `dirname src/aig/saig/saigCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d -> ABC: `` Generating dependency: /src/aig/aig/aigWin.c ./depends.sh "gcc" `dirname src/aig/aig/aigWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c ./depends.sh "gcc" `dirname src/aig/aig/aigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d -> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c ./depends.sh "gcc" `dirname src/aig/aig/aigTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d -> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c ./depends.sh "gcc" `dirname src/aig/aig/aigTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c ./depends.sh "gcc" `dirname src/aig/aig/aigTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTable.c ./depends.sh "gcc" `dirname src/aig/aig/aigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d -> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c ./depends.sh "gcc" `dirname src/aig/aig/aigSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigShow.c ./depends.sh "gcc" `dirname src/aig/aig/aigShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigScl.c ./depends.sh "gcc" `dirname src/aig/aig/aigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d -> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c -> ABC: `` Generating dependency: /src/aig/aig/aigRet.c ./depends.sh "gcc" `dirname src/aig/aig/aigRetF.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d ./depends.sh "gcc" `dirname src/aig/aig/aigRet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c ./depends.sh "gcc" `dirname src/aig/aig/aigRepr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d -> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c ./depends.sh "gcc" `dirname src/aig/aig/aigPartSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c ./depends.sh "gcc" `dirname src/aig/aig/aigPartReg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPart.c ./depends.sh "gcc" `dirname src/aig/aig/aigPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPack.c ./depends.sh "gcc" `dirname src/aig/aig/aigPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c ./depends.sh "gcc" `dirname src/aig/aig/aigOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d -> ABC: `` Generating dependency: /src/aig/aig/aigOper.c ./depends.sh "gcc" `dirname src/aig/aig/aigOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigObj.c ./depends.sh "gcc" `dirname src/aig/aig/aigObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c ./depends.sh "gcc" `dirname src/aig/aig/aigMffc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMem.c ./depends.sh "gcc" `dirname src/aig/aig/aigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d -> ABC: `` Generating dependency: /src/aig/aig/aigMan.c ./depends.sh "gcc" `dirname src/aig/aig/aigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d -> ABC: `` Generating dependency: /src/aig/aig/aigJust.c ./depends.sh "gcc" `dirname src/aig/aig/aigJust.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigInter.c ./depends.sh "gcc" `dirname src/aig/aig/aigInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c ./depends.sh "gcc" `dirname src/aig/aig/aigFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c -> ABC: `` Generating dependency: /src/aig/aig/aigDup.c ./depends.sh "gcc" `dirname src/aig/aig/aigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d ./depends.sh "gcc" `dirname src/aig/aig/aigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c -> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c ./depends.sh "gcc" `dirname src/aig/aig/aigDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d ./depends.sh "gcc" `dirname src/aig/aig/aigCuts.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c ./depends.sh "gcc" `dirname src/aig/aig/aigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c ./depends.sh "gcc" `dirname src/aig/aig/aigCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d -> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c ./depends.sh "gcc" `dirname src/proof/ssw/sswUnique.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c ./depends.sh "gcc" `dirname src/proof/ssw/sswSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d -> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c ./depends.sh "gcc" `dirname src/proof/ssw/sswSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c -> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c ./depends.sh "gcc" `dirname src/proof/ssw/sswSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d ./depends.sh "gcc" `dirname src/proof/ssw/sswSemi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d -> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c ./depends.sh "gcc" `dirname src/proof/ssw/sswSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c ./depends.sh "gcc" `dirname src/proof/ssw/sswRarity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d -> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/ssw/sswPairs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c ./depends.sh "gcc" `dirname src/proof/ssw/sswPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d -> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c ./depends.sh "gcc" `dirname src/proof/ssw/sswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d -> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c ./depends.sh "gcc" `dirname src/proof/ssw/sswLcorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c ./depends.sh "gcc" `dirname src/proof/ssw/sswIslands.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d -> ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c ./depends.sh "gcc" `dirname src/proof/ssw/sswFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c ./depends.sh "gcc" `dirname src/proof/ssw/sswDyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d -> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c ./depends.sh "gcc" `dirname src/proof/ssw/sswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c ./depends.sh "gcc" `dirname src/proof/ssw/sswConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d -> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c ./depends.sh "gcc" `dirname src/proof/ssw/sswCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c ./depends.sh "gcc" `dirname src/proof/ssw/sswClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c ./depends.sh "gcc" `dirname src/proof/ssw/sswBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d -> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c ./depends.sh "gcc" `dirname src/proof/ssw/sswAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSim.c ./depends.sh "gcc" `dirname src/proof/fra/fraSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraSec.c -> ABC: `` Generating dependency: /src/proof/fra/fraSat.c ./depends.sh "gcc" `dirname src/proof/fra/fraSec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d ./depends.sh "gcc" `dirname src/proof/fra/fraSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d -> ABC: `` Generating dependency: /src/proof/fra/fraPart.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/fra/fraPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraMan.c ./depends.sh "gcc" `dirname src/proof/fra/fraMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d -> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c ./depends.sh "gcc" `dirname src/proof/fra/fraLcr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d -> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c ./depends.sh "gcc" `dirname src/proof/fra/fraIndVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraInd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/fra/fraInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraImp.c ./depends.sh "gcc" `dirname src/proof/fra/fraImp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraHot.c ./depends.sh "gcc" `dirname src/proof/fra/fraHot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d -> ABC: `` Generating dependency: /src/proof/fra/fraCore.c ./depends.sh "gcc" `dirname src/proof/fra/fraCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c ./depends.sh "gcc" `dirname src/proof/fra/fraCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c ./depends.sh "gcc" `dirname src/proof/fra/fraClaus.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d -> ABC: `` Generating dependency: /src/proof/fra/fraClau.c ./depends.sh "gcc" `dirname src/proof/fra/fraClau.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClass.c ./depends.sh "gcc" `dirname src/proof/fra/fraClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCec.c -> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c ./depends.sh "gcc" `dirname src/proof/fra/fraCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d ./depends.sh "gcc" `dirname src/proof/fra/fraBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigPrime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigFeed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d -> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c ./depends.sh "gcc" `dirname src/proof/dch/dchSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c -> ABC: `` Generating dependency: /src/proof/dch/dchSim.c ./depends.sh "gcc" `dirname src/proof/dch/dchSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d ./depends.sh "gcc" `dirname src/proof/dch/dchSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d -> ABC: `` Generating dependency: /src/proof/dch/dchSat.c ./depends.sh "gcc" `dirname src/proof/dch/dchSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchMan.c ./depends.sh "gcc" `dirname src/proof/dch/dchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchCore.c -> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c ./depends.sh "gcc" `dirname src/proof/dch/dchCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d ./depends.sh "gcc" `dirname src/proof/dch/dchCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d -> ABC: `` Generating dependency: /src/proof/dch/dchClass.c ./depends.sh "gcc" `dirname src/proof/dch/dchClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c ./depends.sh "gcc" `dirname src/proof/dch/dchChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchAig.c ./depends.sh "gcc" `dirname src/proof/dch/dchAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d -> ABC: `` Generating dependency: /src/proof/acec/acecXor.c ./depends.sh "gcc" `dirname src/proof/acec/acecXor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d -> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c ./depends.sh "gcc" `dirname src/proof/acec/acec2Mult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c ./depends.sh "gcc" `dirname src/proof/acec/acecUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecTree.c ./depends.sh "gcc" `dirname src/proof/acec/acecTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d -> ABC: `` Generating dependency: /src/proof/acec/acecSt.c ./depends.sh "gcc" `dirname src/proof/acec/acecSt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c ./depends.sh "gcc" `dirname src/proof/acec/acecPolyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d -> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c ./depends.sh "gcc" `dirname src/proof/acec/acecOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c ./depends.sh "gcc" `dirname src/proof/acec/acecNorm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecMult.c ./depends.sh "gcc" `dirname src/proof/acec/acecMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d -> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c ./depends.sh "gcc" `dirname src/proof/acec/acecFadds.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d -> ABC: `` Generating dependency: /src/proof/acec/acecCover.c ./depends.sh "gcc" `dirname src/proof/acec/acecCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPool.c ./depends.sh "gcc" `dirname src/proof/acec/acecPool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPo.c ./depends.sh "gcc" `dirname src/proof/acec/acecPo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d -> ABC: `` Generating dependency: /src/proof/acec/acecPa.c ./depends.sh "gcc" `dirname src/proof/acec/acecPa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d -> ABC: `` Generating dependency: /src/proof/acec/acecRe.c ./depends.sh "gcc" `dirname src/proof/acec/acecRe.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecBo.c ./depends.sh "gcc" `dirname src/proof/acec/acecBo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCo.c ./depends.sh "gcc" `dirname src/proof/acec/acecCo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCore.c ./depends.sh "gcc" `dirname src/proof/acec/acecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d -> ABC: `` Generating dependency: /src/proof/acec/acecCl.c ./depends.sh "gcc" `dirname src/proof/acec/acecCl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c -> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c ./depends.sh "gcc" `dirname src/proof/cec/cecSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d ./depends.sh "gcc" `dirname src/proof/cec/cecSynth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c ./depends.sh "gcc" `dirname src/proof/cec/cecSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c ./depends.sh "gcc" `dirname src/proof/cec/cecSolveG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c ./depends.sh "gcc" `dirname src/proof/cec/cecSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d -> ABC: `` Generating dependency: /src/proof/cec/cecSim.c ./depends.sh "gcc" `dirname src/proof/cec/cecSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c ./depends.sh "gcc" `dirname src/proof/cec/cecSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c ./depends.sh "gcc" `dirname src/proof/cec/cecSatG3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c ./depends.sh "gcc" `dirname src/proof/cec/cecSatG2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/cec/cecSatG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSat.c ./depends.sh "gcc" `dirname src/proof/cec/cecSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d -> ABC: `` Generating dependency: /src/proof/cec/cecPat.c ./depends.sh "gcc" `dirname src/proof/cec/cecPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d -> ABC: `` Generating dependency: /src/proof/cec/cecMan.c ./depends.sh "gcc" `dirname src/proof/cec/cecMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecIso.c ./depends.sh "gcc" `dirname src/proof/cec/cecIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d -> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c ./depends.sh "gcc" `dirname src/proof/cec/cecCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecCore.c ./depends.sh "gcc" `dirname src/proof/cec/cecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecClass.c ./depends.sh "gcc" `dirname src/proof/cec/cecClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c ./depends.sh "gcc" `dirname src/proof/cec/cecChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d -> ABC: `` Generating dependency: /src/proof/cec/cecCec.c ./depends.sh "gcc" `dirname src/proof/cec/cecCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intUtil.c ./depends.sh "gcc" `dirname src/proof/int/intUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d -> ABC: `` Generating dependency: /src/proof/int/intMan.c ./depends.sh "gcc" `dirname src/proof/int/intMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d -> ABC: `` Generating dependency: /src/proof/int/intM114.c ./depends.sh "gcc" `dirname src/proof/int/intM114.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intInter.c ./depends.sh "gcc" `dirname src/proof/int/intInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intFrames.c ./depends.sh "gcc" `dirname src/proof/int/intFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d -> ABC: `` Generating dependency: /src/proof/int/intDup.c ./depends.sh "gcc" `dirname src/proof/int/intDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c ./depends.sh "gcc" `dirname src/proof/int/intCtrex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intCore.c ./depends.sh "gcc" `dirname src/proof/int/intCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d -> ABC: `` Generating dependency: /src/proof/int/intContain.c ./depends.sh "gcc" `dirname src/proof/int/intContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d -> ABC: `` Generating dependency: /src/proof/int/intCheck.c ./depends.sh "gcc" `dirname src/proof/int/intCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c ./depends.sh "gcc" `dirname src/proof/ssc/sscUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c ./depends.sh "gcc" `dirname src/proof/ssc/sscSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c ./depends.sh "gcc" `dirname src/proof/ssc/sscSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c ./depends.sh "gcc" `dirname src/proof/ssc/sscCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c ./depends.sh "gcc" `dirname src/proof/ssc/sscClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/combination.c -> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c ./depends.sh "gcc" `dirname src/proof/live/combination.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/live/kLiveConstraints.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c ./depends.sh "gcc" `dirname src/proof/live/arenaViolation.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c ./depends.sh "gcc" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d -> ABC: `` Generating dependency: /src/proof/live/monotone.c ./depends.sh "gcc" `dirname src/proof/live/monotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/kliveness.c ./depends.sh "gcc" `dirname src/proof/live/kliveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c ./depends.sh "gcc" `dirname src/proof/live/ltl_parser.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d -> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c -> ABC: `` Generating dependency: /src/proof/live/liveness.c ./depends.sh "gcc" `dirname src/proof/live/liveness_sim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d ./depends.sh "gcc" `dirname src/proof/live/liveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absUtil.c -> ABC: `` Generating dependency: /src/proof/abs/absVta.c ./depends.sh "gcc" `dirname src/proof/abs/absUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d ./depends.sh "gcc" `dirname src/proof/abs/absVta.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c ./depends.sh "gcc" `dirname src/proof/abs/absRpmOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRpm.c ./depends.sh "gcc" `dirname src/proof/abs/absRpm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d -> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c ./depends.sh "gcc" `dirname src/proof/abs/absRefSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRef.c ./depends.sh "gcc" `dirname src/proof/abs/absRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d -> ABC: `` Generating dependency: /src/proof/abs/absPth.c -> ABC: `` Generating dependency: /src/proof/abs/absOut.c ./depends.sh "gcc" `dirname src/proof/abs/absPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/abs/absOut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c ./depends.sh "gcc" `dirname src/proof/abs/absOldSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c ./depends.sh "gcc" `dirname src/proof/abs/absOldSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c ./depends.sh "gcc" `dirname src/proof/abs/absOldRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c ./depends.sh "gcc" `dirname src/proof/abs/absOldCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absIter.c ./depends.sh "gcc" `dirname src/proof/abs/absIter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d -> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c ./depends.sh "gcc" `dirname src/proof/abs/absGlaOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absGla.c ./depends.sh "gcc" `dirname src/proof/abs/absGla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d -> ABC: `` Generating dependency: /src/proof/abs/absDup.c ./depends.sh "gcc" `dirname src/proof/abs/absDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrIncr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c -> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d ./depends.sh "gcc" `dirname src/proof/pdr/pdrCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rpo/rpo.c ./depends.sh "gcc" `dirname src/bool/rpo/rpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d -> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c ./depends.sh "gcc" `dirname src/bool/rsb/rsbMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d -> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c ./depends.sh "gcc" `dirname src/bool/rsb/rsbDec6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c ./depends.sh "gcc" `dirname src/bool/lucky/luckySwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d ./depends.sh "gcc" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d -> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c ./depends.sh "gcc" `dirname src/bool/lucky/luckySimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c ./depends.sh "gcc" `dirname src/bool/lucky/luckyRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c ./depends.sh "gcc" `dirname src/bool/lucky/luckyFast6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c ./depends.sh "gcc" `dirname src/bool/lucky/luckyFast16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/lucky.c ./depends.sh "gcc" `dirname src/bool/lucky/lucky.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d -> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitSop.c ./depends.sh "gcc" `dirname src/bool/kit/kitTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d ./depends.sh "gcc" `dirname src/bool/kit/kitSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d -> ABC: `` Generating dependency: /src/bool/kit/kitPla.c ./depends.sh "gcc" `dirname src/bool/kit/kitPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d -> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c ./depends.sh "gcc" `dirname src/bool/kit/kitIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitHop.c ./depends.sh "gcc" `dirname src/bool/kit/kitHop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c ./depends.sh "gcc" `dirname src/bool/kit/kitGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d -> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c ./depends.sh "gcc" `dirname src/bool/kit/kitFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d -> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c ./depends.sh "gcc" `dirname src/bool/kit/kitDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d -> ABC: `` Generating dependency: /src/bool/kit/cloud.c ./depends.sh "gcc" `dirname src/bool/kit/cloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c ./depends.sh "gcc" `dirname src/bool/kit/kitCloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c -> ABC: `` Generating dependency: /src/bool/kit/kitAig.c ./depends.sh "gcc" `dirname src/bool/kit/kitBdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d ./depends.sh "gcc" `dirname src/bool/kit/kitAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d -> ABC: `` Generating dependency: /src/bool/dec/decUtil.c ./depends.sh "gcc" `dirname src/bool/dec/decUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decPrint.c ./depends.sh "gcc" `dirname src/bool/dec/decPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decMan.c ./depends.sh "gcc" `dirname src/bool/dec/decMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d -> ABC: `` Generating dependency: /src/bool/dec/decFactor.c ./depends.sh "gcc" `dirname src/bool/dec/decFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d -> ABC: `` Generating dependency: /src/bool/dec/decAbc.c ./depends.sh "gcc" `dirname src/bool/dec/decAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMesh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d ./depends.sh "gcc" `dirname src/sat/bmc/bmcInse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcICheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d ./depends.sh "gcc" `dirname src/sat/bmc/bmcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bmc/bmcFault.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcExpand.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcChain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmci.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c -> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d ./depends.sh "gcc" `dirname src/sat/cnf/cnfPost.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c -> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/cnf/cnfMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/cnf/cnfFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatVec.c ./depends.sh "gcc" `dirname src/sat/msat/msatVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSort.c -> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c ./depends.sh "gcc" `dirname src/sat/msat/msatSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d ./depends.sh "gcc" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverIo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d -> ABC: `` Generating dependency: /src/sat/msat/msatRead.c ./depends.sh "gcc" `dirname src/sat/msat/msatRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c ./depends.sh "gcc" `dirname src/sat/msat/msatQueue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d -> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c ./depends.sh "gcc" `dirname src/sat/msat/msatOrderH.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d -> ABC: `` Generating dependency: /src/sat/msat/msatMem.c ./depends.sh "gcc" `dirname src/sat/msat/msatMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d -> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c ./depends.sh "gcc" `dirname src/sat/msat/msatClauseVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatClause.c ./depends.sh "gcc" `dirname src/sat/msat/msatClause.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c ./depends.sh "gcc" `dirname src/sat/msat/msatActivity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c ./depends.sh "gcc" `dirname src/sat/csat/csat_apis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d -> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c ./depends.sh "gcc" `dirname src/sat/satoko/cnf_reader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/satoko/solver_api.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d -> ABC: `` Generating dependency: /src/sat/satoko/solver.c ./depends.sh "gcc" `dirname src/sat/satoko/solver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d -> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c ./depends.sh "gcc" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c ./depends.sh "gcc" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c ./depends.sh "gcc" `dirname src/sat/xsat/xsatSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d -> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c ./depends.sh "gcc" `dirname src/sat/bsat/satUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bsat/satTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d -> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c ./depends.sh "gcc" `dirname src/sat/bsat/satTrace.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satStore.c ./depends.sh "gcc" `dirname src/sat/bsat/satStore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c ./depends.sh "gcc" `dirname src/sat/bsat/satSolver3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c ./depends.sh "gcc" `dirname src/sat/bsat/satSolver2i.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c ./depends.sh "gcc" `dirname src/sat/bsat/satSolver2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bsat/satSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d -> ABC: `` Generating dependency: /src/sat/bsat/satProof.c ./depends.sh "gcc" `dirname src/sat/bsat/satProof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c ./depends.sh "gcc" `dirname src/sat/bsat/satInterP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c ./depends.sh "gcc" `dirname src/sat/bsat/satInterB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d -> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c ./depends.sh "gcc" `dirname src/sat/bsat/satInterA.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d -> ABC: `` Generating dependency: /src/sat/bsat/satInter.c -> ABC: `` Generating dependency: /src/sat/bsat/satMem.c ./depends.sh "gcc" `dirname src/sat/bsat/satInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d ./depends.sh "gcc" `dirname src/sat/bsat/satMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d -> ABC: `` Generating dependency: /src/opt/sbd/sbd.c ./depends.sh "gcc" `dirname src/opt/sbd/sbd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmMit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dsc/dsc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dsc/dsc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauTree.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dau/dauTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c -> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c ./depends.sh "gcc" `dirname src/opt/dau/dauNpn2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d ./depends.sh "gcc" `dirname src/opt/dau/dauNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d -> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c ./depends.sh "gcc" `dirname src/opt/dau/dauNonDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d -> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c ./depends.sh "gcc" `dirname src/opt/dau/dauMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauGia.c ./depends.sh "gcc" `dirname src/opt/dau/dauGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d -> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c ./depends.sh "gcc" `dirname src/opt/dau/dauEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c ./depends.sh "gcc" `dirname src/opt/dau/dauDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c ./depends.sh "gcc" `dirname src/opt/dau/dauDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d -> ABC: `` Generating dependency: /src/opt/dau/dauCount.c ./depends.sh "gcc" `dirname src/opt/dau/dauCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d -> ABC: `` Generating dependency: /src/opt/dau/dauCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dau/dauCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c -> ABC: `` Generating dependency: /src/opt/dar/darScript.c ./depends.sh "gcc" `dirname src/opt/dau/dauCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d ./depends.sh "gcc" `dirname src/opt/dar/darScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darRefact.c ./depends.sh "gcc" `dirname src/opt/dar/darRefact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darPrec.c ./depends.sh "gcc" `dirname src/opt/dar/darPrec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dar/darMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d -> ABC: `` Generating dependency: /src/opt/dar/darLib.c ./depends.sh "gcc" `dirname src/opt/dar/darLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d -> ABC: `` Generating dependency: /src/opt/dar/darData.c ./depends.sh "gcc" `dirname src/opt/dar/darData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darCut.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dar/darCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d -> ABC: `` Generating dependency: /src/opt/dar/darCore.c -> ABC: `` Generating dependency: /src/opt/dar/darBalance.c ./depends.sh "gcc" `dirname src/opt/dar/darCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dar/darBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswTable.c ./depends.sh "gcc" `dirname src/opt/csw/cswTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswMan.c ./depends.sh "gcc" `dirname src/opt/csw/cswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCut.c ./depends.sh "gcc" `dirname src/opt/csw/cswCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d -> ABC: `` Generating dependency: /src/opt/csw/cswCore.c -> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c ./depends.sh "gcc" `dirname src/opt/csw/cswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/cgt/cgtSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtDecide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/cgt/cgtAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/rwt/rwtDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c -> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d ./depends.sh "gcc" `dirname src/opt/nwk/nwkObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d ./depends.sh "gcc" `dirname src/opt/nwk/nwkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c -> ABC: `` Generating dependency: /src/opt/res/resWin.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d ./depends.sh "gcc" `dirname src/opt/res/resWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resStrash.c -> ABC: `` Generating dependency: /src/opt/res/resSim.c ./depends.sh "gcc" `dirname src/opt/res/resStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d ./depends.sh "gcc" `dirname src/opt/res/resSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d -> ABC: `` Generating dependency: /src/opt/res/resSat.c ./depends.sh "gcc" `dirname src/opt/res/resSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d -> ABC: `` Generating dependency: /src/opt/res/resFilter.c ./depends.sh "gcc" `dirname src/opt/res/resFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resDivs.c ./depends.sh "gcc" `dirname src/opt/res/resDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resCore.c ./depends.sh "gcc" `dirname src/opt/res/resCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d -> ABC: `` Generating dependency: /src/opt/fret/fretTime.c ./depends.sh "gcc" `dirname src/opt/fret/fretTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretInit.c ./depends.sh "gcc" `dirname src/opt/fret/fretInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d -> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c ./depends.sh "gcc" `dirname src/opt/fret/fretFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/fret/fretMain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c ./depends.sh "gcc" `dirname src/opt/ret/retLvalue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retInit.c ./depends.sh "gcc" `dirname src/opt/ret/retInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c -> ABC: `` Generating dependency: /src/opt/ret/retFlow.c ./depends.sh "gcc" `dirname src/opt/ret/retIncrem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d ./depends.sh "gcc" `dirname src/opt/ret/retFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retDelay.c ./depends.sh "gcc" `dirname src/opt/ret/retDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retCore.c ./depends.sh "gcc" `dirname src/opt/ret/retCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retArea.c ./depends.sh "gcc" `dirname src/opt/ret/retArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d -> ABC: `` Generating dependency: /src/opt/sim/simUtils.c ./depends.sh "gcc" `dirname src/opt/sim/simUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c ./depends.sh "gcc" `dirname src/opt/sim/simSymStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d -> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c ./depends.sh "gcc" `dirname src/opt/sim/simSymSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c ./depends.sh "gcc" `dirname src/opt/sim/simSymSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSym.c -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c ./depends.sh "gcc" `dirname src/opt/sim/simSym.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/sim/simSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c ./depends.sh "gcc" `dirname src/opt/sim/simSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSeq.c ./depends.sh "gcc" `dirname src/opt/sim/simSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d -> ABC: `` Generating dependency: /src/opt/sim/simMan.c ./depends.sh "gcc" `dirname src/opt/sim/simMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c -> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/mfs/mfsResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c -> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d ./depends.sh "gcc" `dirname src/opt/mfs/mfsDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d ./depends.sh "gcc" `dirname src/opt/rwr/rwrPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/rwr/rwrLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrExp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/rwr/rwrEva.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c ./depends.sh "gcc" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c -> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c ./depends.sh "gcc" `dirname src/opt/fxch/FxchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/fxch/FxchDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d -> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c ./depends.sh "gcc" `dirname src/opt/fxch/Fxch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c -> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/fxu/fxuSingle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuPair.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d -> ABC: `` Generating dependency: /src/opt/fxu/fxu.c ./depends.sh "gcc" `dirname src/opt/fxu/fxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c ./depends.sh "gcc" `dirname src/opt/cut/cutTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d -> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c ./depends.sh "gcc" `dirname src/opt/cut/cutSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c ./depends.sh "gcc" `dirname src/opt/cut/cutPre22.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d -> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c ./depends.sh "gcc" `dirname src/opt/cut/cutOracle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutNode.c ./depends.sh "gcc" `dirname src/opt/cut/cutNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c ./depends.sh "gcc" `dirname src/opt/cut/cutMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/cut/cutMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d -> ABC: `` Generating dependency: /src/opt/cut/cutCut.c ./depends.sh "gcc" `dirname src/opt/cut/cutCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d -> ABC: `` Generating dependency: /src/opt/cut/cutApi.c ./depends.sh "gcc" `dirname src/opt/cut/cutApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d -> ABC: `` Generating dependency: /src/misc/parse/parseStack.c ./depends.sh "gcc" `dirname src/misc/parse/parseStack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c ./depends.sh "gcc" `dirname src/misc/parse/parseEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/bbl/bblif.c ./depends.sh "gcc" `dirname src/misc/bbl/bblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/bar/bar.c ./depends.sh "gcc" `dirname src/misc/bar/bar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d -> ABC: `` Generating dependency: /src/misc/mem/mem.c ./depends.sh "gcc" `dirname src/misc/mem/mem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTrav.c ./depends.sh "gcc" `dirname src/misc/tim/timTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTime.c ./depends.sh "gcc" `dirname src/misc/tim/timTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timMan.c -> ABC: `` Generating dependency: /src/misc/tim/timDump.c ./depends.sh "gcc" `dirname src/misc/tim/timMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d ./depends.sh "gcc" `dirname src/misc/tim/timDump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d -> ABC: `` Generating dependency: /src/misc/tim/timBox.c ./depends.sh "gcc" `dirname src/misc/tim/timBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d -> ABC: `` Generating dependency: /src/misc/nm/nmTable.c ./depends.sh "gcc" `dirname src/misc/nm/nmTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmApi.c ./depends.sh "gcc" `dirname src/misc/nm/nmApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSort.c ./depends.sh "gcc" `dirname src/misc/util/utilSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSignal.c ./depends.sh "gcc" `dirname src/misc/util/utilSignal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilNam.c ./depends.sh "gcc" `dirname src/misc/util/utilNam.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c -> ABC: `` Generating dependency: /src/misc/util/utilFile.c ./depends.sh "gcc" `dirname src/misc/util/utilIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/util/utilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d -> ABC: `` Generating dependency: /src/misc/util/utilColor.c -> ABC: `` Generating dependency: /src/misc/util/utilCex.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBridge.c ./depends.sh "gcc" `dirname src/misc/util/utilColor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d ./depends.sh "gcc" `dirname src/misc/util/utilCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d ./depends.sh "gcc" `dirname src/misc/util/utilBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/stmm.c ./depends.sh "gcc" `dirname src/misc/st/stmm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d -> ABC: `` Generating dependency: /src/misc/st/st.c ./depends.sh "gcc" `dirname src/misc/st/st.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/mvc/mvcMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcLits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/mvc/mvcList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcDivide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcCompare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c -> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d ./depends.sh "gcc" `dirname src/misc/extra/extraUtilReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c ./depends.sh "gcc" `dirname src/map/mpm/mpmUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c ./depends.sh "gcc" `dirname src/map/mpm/mpmTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c ./depends.sh "gcc" `dirname src/map/mpm/mpmPre.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c ./depends.sh "gcc" `dirname src/map/mpm/mpmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c ./depends.sh "gcc" `dirname src/map/mpm/mpmGates.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c ./depends.sh "gcc" `dirname src/map/mpm/mpmDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d -> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c -> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c ./depends.sh "gcc" `dirname src/map/mpm/mpmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d ./depends.sh "gcc" `dirname src/map/mpm/mpmAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUtil.c ./depends.sh "gcc" `dirname src/map/scl/sclUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d -> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/scl/sclUpsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclSize.c ./depends.sh "gcc" `dirname src/map/scl/sclSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLoad.c -> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c ./depends.sh "gcc" `dirname src/map/scl/sclLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d ./depends.sh "gcc" `dirname src/map/scl/sclLibUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c ./depends.sh "gcc" `dirname src/map/scl/sclLibScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLiberty.c ./depends.sh "gcc" `dirname src/map/scl/sclLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d -> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/scl/sclDnsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d -> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c -> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c ./depends.sh "gcc" `dirname src/map/scl/sclBufSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d ./depends.sh "gcc" `dirname src/map/scl/sclBuffer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/scl.c ./depends.sh "gcc" `dirname src/map/scl/scl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d -> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c ./depends.sh "gcc" `dirname src/map/cov/covMinUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d -> ABC: `` Generating dependency: /src/map/cov/covMinSop.c ./depends.sh "gcc" `dirname src/map/cov/covMinSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinMan.c ./depends.sh "gcc" `dirname src/map/cov/covMinMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c ./depends.sh "gcc" `dirname src/map/cov/covMinEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMan.c ./depends.sh "gcc" `dirname src/map/cov/covMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d -> ABC: `` Generating dependency: /src/map/cov/covCore.c ./depends.sh "gcc" `dirname src/map/cov/covCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d -> ABC: `` Generating dependency: /src/map/cov/covBuild.c ./depends.sh "gcc" `dirname src/map/cov/covBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapUniq.c ./depends.sh "gcc" `dirname src/map/amap/amapUniq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRule.c ./depends.sh "gcc" `dirname src/map/amap/amapRule.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d -> ABC: `` Generating dependency: /src/map/amap/amapRead.c ./depends.sh "gcc" `dirname src/map/amap/amapRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c ./depends.sh "gcc" `dirname src/map/amap/amapPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapParse.c ./depends.sh "gcc" `dirname src/map/amap/amapParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d -> ABC: `` Generating dependency: /src/map/amap/amapOutput.c -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c ./depends.sh "gcc" `dirname src/map/amap/amapOutput.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/amap/amapMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMatch.c ./depends.sh "gcc" `dirname src/map/amap/amapMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d -> ABC: `` Generating dependency: /src/map/amap/amapMan.c ./depends.sh "gcc" `dirname src/map/amap/amapMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c ./depends.sh "gcc" `dirname src/map/amap/amapLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d -> ABC: `` Generating dependency: /src/map/amap/amapLib.c ./depends.sh "gcc" `dirname src/map/amap/amapLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapGraph.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/amap/amapGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d -> ABC: `` Generating dependency: /src/map/amap/amapCore.c -> ABC: `` Generating dependency: /src/map/if/ifUtil.c ./depends.sh "gcc" `dirname src/map/amap/amapCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d ./depends.sh "gcc" `dirname src/map/if/ifUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTune.c ./depends.sh "gcc" `dirname src/map/if/ifTune.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c ./depends.sh "gcc" `dirname src/map/if/ifTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d -> ABC: `` Generating dependency: /src/map/if/ifTime.c ./depends.sh "gcc" `dirname src/map/if/ifTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d -> ABC: `` Generating dependency: /src/map/if/ifTest.c ./depends.sh "gcc" `dirname src/map/if/ifTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSeq.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSelect.c ./depends.sh "gcc" `dirname src/map/if/ifSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d ./depends.sh "gcc" `dirname src/map/if/ifSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSat.c ./depends.sh "gcc" `dirname src/map/if/ifSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifReduce.c ./depends.sh "gcc" `dirname src/map/if/ifReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d -> ABC: `` Generating dependency: /src/map/if/ifMatch2.c ./depends.sh "gcc" `dirname src/map/if/ifMatch2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d -> ABC: `` Generating dependency: /src/map/if/ifMap.c -> ABC: `` Generating dependency: /src/map/if/ifMan.c ./depends.sh "gcc" `dirname src/map/if/ifMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d ./depends.sh "gcc" `dirname src/map/if/ifMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibLut.c ./depends.sh "gcc" `dirname src/map/if/ifLibLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c ./depends.sh "gcc" `dirname src/map/if/ifLibBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDsd.c ./depends.sh "gcc" `dirname src/map/if/ifDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDelay.c ./depends.sh "gcc" `dirname src/map/if/ifDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d -> ABC: `` Generating dependency: /src/map/if/ifDec75.c ./depends.sh "gcc" `dirname src/map/if/ifDec75.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d -> ABC: `` Generating dependency: /src/map/if/ifDec16.c ./depends.sh "gcc" `dirname src/map/if/ifDec16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec10.c ./depends.sh "gcc" `dirname src/map/if/ifDec10.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c ./depends.sh "gcc" `dirname src/map/if/ifDec08.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec07.c ./depends.sh "gcc" `dirname src/map/if/ifDec07.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifData2.c ./depends.sh "gcc" `dirname src/map/if/ifData2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d -> ABC: `` Generating dependency: /src/map/if/ifCut.c ./depends.sh "gcc" `dirname src/map/if/ifCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d -> ABC: `` Generating dependency: /src/map/if/ifCore.c ./depends.sh "gcc" `dirname src/map/if/ifCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCache.c -> ABC: `` Generating dependency: /src/map/if/ifCom.c ./depends.sh "gcc" `dirname src/map/if/ifCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/if/ifCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d -> ABC: `` Generating dependency: /src/map/super/superGate.c ./depends.sh "gcc" `dirname src/map/super/superGate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superAnd.c ./depends.sh "gcc" `dirname src/map/super/superAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/super.c ./depends.sh "gcc" `dirname src/map/super/super.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d -> ABC: `` Generating dependency: /src/map/mio/mioUtils.c ./depends.sh "gcc" `dirname src/map/mio/mioUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d -> ABC: `` Generating dependency: /src/map/mio/mioSop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mio/mioSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d -> ABC: `` Generating dependency: /src/map/mio/mioRead.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mio/mioRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d -> ABC: `` Generating dependency: /src/map/mio/mioParse.c ./depends.sh "gcc" `dirname src/map/mio/mioParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioFunc.c ./depends.sh "gcc" `dirname src/map/mio/mioFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioApi.c ./depends.sh "gcc" `dirname src/map/mio/mioApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d -> ABC: `` Generating dependency: /src/map/mio/mio.c ./depends.sh "gcc" `dirname src/map/mio/mio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d -> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mapper/mapperVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d -> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c ./depends.sh "gcc" `dirname src/map/mapper/mapperUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d -> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c ./depends.sh "gcc" `dirname src/map/mapper/mapperTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d -> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mapper/mapperTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c ./depends.sh "gcc" `dirname src/map/mapper/mapperTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d ./depends.sh "gcc" `dirname src/map/mapper/mapperTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c ./depends.sh "gcc" `dirname src/map/mapper/mapperSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c ./depends.sh "gcc" `dirname src/map/mapper/mapperSuper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c -> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c ./depends.sh "gcc" `dirname src/map/mapper/mapperRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d ./depends.sh "gcc" `dirname src/map/mapper/mapperMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c ./depends.sh "gcc" `dirname src/map/mapper/mapperLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d -> ABC: `` Generating dependency: /src/map/mapper/mapper.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mapper/mapper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d -> ABC: `` Generating dependency: /src/base/test/test.c ./depends.sh "gcc" `dirname src/base/test/test.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaWrite.c ./depends.sh "gcc" `dirname src/base/pla/plaWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaRead.c ./depends.sh "gcc" `dirname src/base/pla/plaRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d -> ABC: `` Generating dependency: /src/base/pla/plaSimple.c ./depends.sh "gcc" `dirname src/base/pla/plaSimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d -> ABC: `` Generating dependency: /src/base/pla/plaMerge.c -> ABC: `` Generating dependency: /src/base/pla/plaMan.c ./depends.sh "gcc" `dirname src/base/pla/plaMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/pla/plaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d -> ABC: `` Generating dependency: /src/base/pla/plaHash.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaCom.c ./depends.sh "gcc" `dirname src/base/pla/plaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d ./depends.sh "gcc" `dirname src/base/pla/plaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c ./depends.sh "gcc" `dirname src/base/cba/cbaWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c ./depends.sh "gcc" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d -> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c ./depends.sh "gcc" `dirname src/base/cba/cbaReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c ./depends.sh "gcc" `dirname src/base/cba/cbaReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d -> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/cba/cbaNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/cba/cbaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -> ABC: `` Generating dependency: /src/base/cba/cbaCba.c ./depends.sh "gcc" `dirname src/base/cba/cbaCba.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d -> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c ./depends.sh "gcc" `dirname src/base/cba/cbaBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c ./depends.sh "gcc" `dirname src/base/bac/bacReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d -> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d -> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c ./depends.sh "gcc" `dirname src/base/bac/bacReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c ./depends.sh "gcc" `dirname src/base/bac/bacPtrAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtr.c ./depends.sh "gcc" `dirname src/base/bac/bacPtr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d -> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c ./depends.sh "gcc" `dirname src/base/bac/bacPrsTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c ./depends.sh "gcc" `dirname src/base/bac/bacPrsBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c > src/base/bac/bacPrsBuild.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacNtk.c ./depends.sh "gcc" `dirname src/base/bac/bacNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d -> ABC: `` Generating dependency: /src/base/bac/bacLib.c ./depends.sh "gcc" `dirname src/base/bac/bacLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacCom.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/bac/bacCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBac.c ./depends.sh "gcc" `dirname src/base/bac/bacBac.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d -> ABC: `` Generating dependency: /src/base/bac/bacBlast.c ./depends.sh "gcc" `dirname src/base/bac/bacBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c ./depends.sh "gcc" `dirname src/base/acb/acbUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbTest.c ./depends.sh "gcc" `dirname src/base/acb/acbTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbSets.c ./depends.sh "gcc" `dirname src/base/acb/acbSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d -> ABC: `` Generating dependency: /src/base/acb/acbPush.c ./depends.sh "gcc" `dirname src/base/acb/acbPush.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbMfs.c ./depends.sh "gcc" `dirname src/base/acb/acbMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d -> ABC: `` Generating dependency: /src/base/acb/acbFunc.c ./depends.sh "gcc" `dirname src/base/acb/acbFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbCom.c -> ABC: `` Generating dependency: /src/base/acb/acbAig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/acb/acbCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d ./depends.sh "gcc" `dirname src/base/acb/acbAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d -> ABC: `` Generating dependency: /src/base/acb/acbAbc.c ./depends.sh "gcc" `dirname src/base/acb/acbAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c -> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c ./depends.sh "gcc" `dirname src/base/wln/wlnWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnWlc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c ./depends.sh "gcc" `dirname src/base/wln/wlnRtl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRead.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c ./depends.sh "gcc" `dirname src/base/wln/wlnObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d -> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c ./depends.sh "gcc" `dirname src/base/wln/wlnNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d -> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c ./depends.sh "gcc" `dirname src/base/wln/wlnNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c ./depends.sh "gcc" `dirname src/base/wln/wlnGuide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d -> ABC: `` Generating dependency: /src/base/wln/wlnCom.c ./depends.sh "gcc" `dirname src/base/wln/wlnCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c ./depends.sh "gcc" `dirname src/base/wln/wlnBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wln.c ./depends.sh "gcc" `dirname src/base/wln/wln.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c ./depends.sh "gcc" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d -> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c -> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wlc/wlcWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d ./depends.sh "gcc" `dirname src/base/wlc/wlcUif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d -> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c ./depends.sh "gcc" `dirname src/base/wlc/wlcStdin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c ./depends.sh "gcc" `dirname src/base/wlc/wlcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c ./depends.sh "gcc" `dirname src/base/wlc/wlcSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c ./depends.sh "gcc" `dirname src/base/wlc/wlcReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d -> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c ./depends.sh "gcc" `dirname src/base/wlc/wlcReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c ./depends.sh "gcc" `dirname src/base/wlc/wlcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c ./depends.sh "gcc" `dirname src/base/wlc/wlcNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d -> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c ./depends.sh "gcc" `dirname src/base/wlc/wlcMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c ./depends.sh "gcc" `dirname src/base/wlc/wlcJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d -> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c ./depends.sh "gcc" `dirname src/base/wlc/wlcGraft.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c ./depends.sh "gcc" `dirname src/base/wlc/wlcCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c ./depends.sh "gcc" `dirname src/base/wlc/wlcBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c ./depends.sh "gcc" `dirname src/base/wlc/wlcPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c ./depends.sh "gcc" `dirname src/base/wlc/wlcAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c ./depends.sh "gcc" `dirname src/base/wlc/wlcAbs2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c ./depends.sh "gcc" `dirname src/base/wlc/wlcAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d -> ABC: `` Generating dependency: /src/base/ver/verStream.c ./depends.sh "gcc" `dirname src/base/ver/verStream.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d -> ABC: `` Generating dependency: /src/base/ver/verParse.c ./depends.sh "gcc" `dirname src/base/ver/verParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verFormula.c ./depends.sh "gcc" `dirname src/base/ver/verFormula.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d -> ABC: `` Generating dependency: /src/base/ver/verCore.c ./depends.sh "gcc" `dirname src/base/ver/verCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorUtil.c ./depends.sh "gcc" `dirname src/base/exor/exorUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorList.c ./depends.sh "gcc" `dirname src/base/exor/exorList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorLink.c ./depends.sh "gcc" `dirname src/base/exor/exorLink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c ./depends.sh "gcc" `dirname src/base/exor/exorCubes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d -> ABC: `` Generating dependency: /src/base/exor/exorBits.c ./depends.sh "gcc" `dirname src/base/exor/exorBits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c ./depends.sh "gcc" `dirname src/base/exor/exor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c ./depends.sh "gcc" `dirname src/base/main/mainUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d -> ABC: `` Generating dependency: /src/base/main/libSupport.c -> ABC: `` Generating dependency: /src/base/main/mainReal.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/main/libSupport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d ./depends.sh "gcc" `dirname src/base/main/mainReal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d -> ABC: `` Generating dependency: /src/base/main/mainLib.c ./depends.sh "gcc" `dirname src/base/main/mainLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d -> ABC: `` Generating dependency: /src/base/main/mainInit.c ./depends.sh "gcc" `dirname src/base/main/mainInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainFrame.c ./depends.sh "gcc" `dirname src/base/main/mainFrame.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d -> ABC: `` Generating dependency: /src/base/main/main.c ./depends.sh "gcc" `dirname src/base/main/main.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c ./depends.sh "gcc" `dirname src/base/io/ioWriteSmv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c ./depends.sh "gcc" `dirname src/base/io/ioWriteVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWritePla.c ./depends.sh "gcc" `dirname src/base/io/ioWritePla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d -> ABC: `` Generating dependency: /src/base/io/ioWriteList.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c ./depends.sh "gcc" `dirname src/base/io/ioWriteList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d ./depends.sh "gcc" `dirname src/base/io/ioWriteGml.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c ./depends.sh "gcc" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c ./depends.sh "gcc" `dirname src/base/io/ioWriteEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c -> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c ./depends.sh "gcc" `dirname src/base/io/ioWriteDot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d ./depends.sh "gcc" `dirname src/base/io/ioWriteCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBook.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c -> ABC: `` Generating dependency: /src/base/io/ioUtil.c ./depends.sh "gcc" `dirname src/base/io/ioWriteAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/ioUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d -> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c ./depends.sh "gcc" `dirname src/base/io/ioReadVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c ./depends.sh "gcc" `dirname src/base/io/ioReadPlaMo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/ioReadPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/ioReadEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c ./depends.sh "gcc" `dirname src/base/io/ioReadEdif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c ./depends.sh "gcc" `dirname src/base/io/ioReadDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c ./depends.sh "gcc" `dirname src/base/io/ioReadBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c ./depends.sh "gcc" `dirname src/base/io/ioReadBlifAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d ./depends.sh "gcc" `dirname src/base/io/ioReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d -> ABC: `` Generating dependency: /src/base/io/ioReadBench.c ./depends.sh "gcc" `dirname src/base/io/ioReadBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c ./depends.sh "gcc" `dirname src/base/io/ioReadBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c ./depends.sh "gcc" `dirname src/base/io/ioReadBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioJson.c ./depends.sh "gcc" `dirname src/base/io/ioReadAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d ./depends.sh "gcc" `dirname src/base/io/ioJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d -> ABC: `` Generating dependency: /src/base/io/io.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/io.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c ./depends.sh "gcc" `dirname src/base/cmd/cmdUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c ./depends.sh "gcc" `dirname src/base/cmd/cmdStarter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c ./depends.sh "gcc" `dirname src/base/cmd/cmdPlugin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c -> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c ./depends.sh "gcc" `dirname src/base/cmd/cmdHist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d ./depends.sh "gcc" `dirname src/base/cmd/cmdLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d -> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c ./depends.sh "gcc" `dirname src/base/cmd/cmdFlag.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c ./depends.sh "gcc" `dirname src/base/cmd/cmdAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d -> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c ./depends.sh "gcc" `dirname src/base/cmd/cmdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c ./depends.sh "gcc" `dirname src/base/cmd/cmdAlias.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmd.c ./depends.sh "gcc" `dirname src/base/cmd/cmd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcXsim.c ./depends.sh "gcc" `dirname src/base/abci/abcXsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcVerify.c ./depends.sh "gcc" `dirname src/base/abci/abcVerify.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d -> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c ./depends.sh "gcc" `dirname src/base/abci/abcUnreach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c ./depends.sh "gcc" `dirname src/base/abci/abcUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTiming.c ./depends.sh "gcc" `dirname src/base/abci/abcTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTim.c -> ABC: `` Generating dependency: /src/base/abci/abcSymm.c ./depends.sh "gcc" `dirname src/base/abci/abcTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d ./depends.sh "gcc" `dirname src/base/abci/abcSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d -> ABC: `` Generating dependency: /src/base/abci/abcStrash.c ./depends.sh "gcc" `dirname src/base/abci/abcStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d -> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c ./depends.sh "gcc" `dirname src/base/abci/abcSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c ./depends.sh "gcc" `dirname src/base/abci/abcSense.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c ./depends.sh "gcc" `dirname src/base/abci/abcScorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c ./depends.sh "gcc" `dirname src/base/abci/abcSaucy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d -> ABC: `` Generating dependency: /src/base/abci/abcSat.c ./depends.sh "gcc" `dirname src/base/abci/abcSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c ./depends.sh "gcc" `dirname src/base/abci/abcRunGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d -> ABC: `` Generating dependency: /src/base/abci/abcRr.c -> ABC: `` Generating dependency: /src/base/abci/abcRpo.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c ./depends.sh "gcc" `dirname src/base/abci/abcRr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d ./depends.sh "gcc" `dirname src/base/abci/abcRpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d ./depends.sh "gcc" `dirname src/base/abci/abcRewrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcResub.c ./depends.sh "gcc" `dirname src/base/abci/abcResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c ./depends.sh "gcc" `dirname src/base/abci/abcRestruct.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c ./depends.sh "gcc" `dirname src/base/abci/abcReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRenode.c ./depends.sh "gcc" `dirname src/base/abci/abcRenode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c ./depends.sh "gcc" `dirname src/base/abci/abcRefactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d -> ABC: `` Generating dependency: /src/base/abci/abcReach.c ./depends.sh "gcc" `dirname src/base/abci/abcReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c ./depends.sh "gcc" `dirname src/base/abci/abcReconv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c ./depends.sh "gcc" `dirname src/base/abci/abcRec3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQuant.c ./depends.sh "gcc" `dirname src/base/abci/abcQuant.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c ./depends.sh "gcc" `dirname src/base/abci/abcQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d -> ABC: `` Generating dependency: /src/base/abci/abcProve.c ./depends.sh "gcc" `dirname src/base/abci/abcProve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c ./depends.sh "gcc" `dirname src/base/abci/abcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c ./depends.sh "gcc" `dirname src/base/abci/abcPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d ./depends.sh "gcc" `dirname src/base/abci/abcOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c ./depends.sh "gcc" `dirname src/base/abci/abcOdc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c ./depends.sh "gcc" `dirname src/base/abci/abcNpnSave.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d -> ABC: `` Generating dependency: /src/base/abci/abcNpn.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c ./depends.sh "gcc" `dirname src/base/abci/abcNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d -> ABC: `` Generating dependency: /src/base/abci/abcMulti.c ./depends.sh "gcc" `dirname src/base/abci/abcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMiter.c ./depends.sh "gcc" `dirname src/base/abci/abcMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMini.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d -> ABC: `` Generating dependency: /src/base/abci/abcMfs.c ./depends.sh "gcc" `dirname src/base/abci/abcMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d -> ABC: `` Generating dependency: /src/base/abci/abcMerge.c ./depends.sh "gcc" `dirname src/base/abci/abcMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d -> ABC: `` Generating dependency: /src/base/abci/abcMap.c ./depends.sh "gcc" `dirname src/base/abci/abcMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c ./depends.sh "gcc" `dirname src/base/abci/abcLutmin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLut.c ./depends.sh "gcc" `dirname src/base/abci/abcLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d -> ABC: `` Generating dependency: /src/base/abci/abcLog.c ./depends.sh "gcc" `dirname src/base/abci/abcLog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d -> ABC: `` Generating dependency: /src/base/abci/abcIvy.c ./depends.sh "gcc" `dirname src/base/abci/abcIvy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcIfMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d -> ABC: `` Generating dependency: /src/base/abci/abcIfif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcIfif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d -> ABC: `` Generating dependency: /src/base/abci/abcIf.c ./depends.sh "gcc" `dirname src/base/abci/abcIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcHaig.c ./depends.sh "gcc" `dirname src/base/abci/abcHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c ./depends.sh "gcc" `dirname src/base/abci/abcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFxu.c -> ABC: `` Generating dependency: /src/base/abci/abcFx.c ./depends.sh "gcc" `dirname src/base/abci/abcFxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d ./depends.sh "gcc" `dirname src/base/abci/abcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c ./depends.sh "gcc" `dirname src/base/abci/abcFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c ./depends.sh "gcc" `dirname src/base/abci/abcExtract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExact.c ./depends.sh "gcc" `dirname src/base/abci/abcExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d -> ABC: `` Generating dependency: /src/base/abci/abcEco.c ./depends.sh "gcc" `dirname src/base/abci/abcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDsd.c ./depends.sh "gcc" `dirname src/base/abci/abcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d -> ABC: `` Generating dependency: /src/base/abci/abcDress3.c ./depends.sh "gcc" `dirname src/base/abci/abcDress3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d -> ABC: `` Generating dependency: /src/base/abci/abcDress2.c ./depends.sh "gcc" `dirname src/base/abci/abcDress2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress.c -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c ./depends.sh "gcc" `dirname src/base/abci/abcDress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcDetect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d -> ABC: `` Generating dependency: /src/base/abci/abcDec.c ./depends.sh "gcc" `dirname src/base/abci/abcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c ./depends.sh "gcc" `dirname src/base/abci/abcDebug.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDar.c ./depends.sh "gcc" `dirname src/base/abci/abcDar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d -> ABC: `` Generating dependency: /src/base/abci/abcCut.c ./depends.sh "gcc" `dirname src/base/abci/abcCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c ./depends.sh "gcc" `dirname src/base/abci/abcCollapse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCascade.c ./depends.sh "gcc" `dirname src/base/abci/abcCascade.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d -> ABC: `` Generating dependency: /src/base/abci/abcCas.c ./depends.sh "gcc" `dirname src/base/abci/abcCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBmc.c ./depends.sh "gcc" `dirname src/base/abci/abcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d -> ABC: `` Generating dependency: /src/base/abci/abcBm.c ./depends.sh "gcc" `dirname src/base/abci/abcBm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c ./depends.sh "gcc" `dirname src/base/abci/abcBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBalance.c ./depends.sh "gcc" `dirname src/base/abci/abcBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAuto.c ./depends.sh "gcc" `dirname src/base/abci/abcAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c ./depends.sh "gcc" `dirname src/base/abci/abcAttach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d -> ABC: `` Generating dependency: /src/base/abci/abc.c ./depends.sh "gcc" `dirname src/base/abci/abc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c ./depends.sh "gcc" `dirname src/base/abc/abcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcSop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcShow.c -> ABC: `` Generating dependency: /src/base/abc/abcRefs.c ./depends.sh "gcc" `dirname src/base/abc/abcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d -> ABC: `` Generating dependency: /src/base/abc/abcObj.c -> ABC: `` Generating dependency: /src/base/abc/abcNtk.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d ./depends.sh "gcc" `dirname src/base/abc/abcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcNetlist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d -> ABC: `` Generating dependency: /src/base/abc/abcNames.c ./depends.sh "gcc" `dirname src/base/abc/abcNames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c ./depends.sh "gcc" `dirname src/base/abc/abcMinBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d -> ABC: `` Generating dependency: /src/base/abc/abcLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d -> ABC: `` Generating dependency: /src/base/abc/abcLatch.c ./depends.sh "gcc" `dirname src/base/abc/abcLatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d -> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c ./depends.sh "gcc" `dirname src/base/abc/abcHieNew.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c ./depends.sh "gcc" `dirname src/base/abc/abcHieCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d ./depends.sh "gcc" `dirname src/base/abc/abcHieGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHie.c -> ABC: `` Generating dependency: /src/base/abc/abcFunc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcHie.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d ./depends.sh "gcc" `dirname src/base/abc/abcFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d -> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c -> ABC: `` Generating dependency: /src/base/abc/abcFanio.c ./depends.sh "gcc" `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d ./depends.sh "gcc" `dirname src/base/abc/abcFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d -> ABC: `` Generating dependency: /src/base/abc/abcDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c ./depends.sh "gcc" `dirname src/base/abc/abcCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c ./depends.sh "gcc" `dirname src/base/abc/abcBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c ./depends.sh "gcc" `dirname src/base/abc/abcBarBuf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d -> ABC: `` Generating dependency: /src/base/abc/abcAig.c ./depends.sh "gcc" `dirname src/base/abc/abcAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d -> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp ./depends.sh "gcc" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp ./depends.sh "gcc" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/System2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/Options2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp > src/sat/glucose2/Options2.d -> ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp -> ABC: `` Generating dependency: /src/sat/glucose/System.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d ./depends.sh "gcc" `dirname src/sat/glucose/System.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp > src/sat/glucose/System.d -> ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp -> ABC: `` Generating dependency: /src/sat/glucose/Options.cpp ./depends.sh "gcc" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d ./depends.sh "gcc" `dirname src/sat/glucose/Options.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp > src/sat/glucose/Options.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp ./depends.sh "gcc" `dirname src/sat/glucose/Glucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d ./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: Using CC=gcc -> ABC: Using CXX=gcc -> ABC: Using AR=ar -> ABC: Using LD=gcc -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -> ABC: Found GCC_VERSION 13 -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o -> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o -> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp -> ABC: `` Compiling: /src/sat/glucose/Options.cpp -> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/System.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/glucose2/Glucose2.cpp: In member function 'void abc::Gluco2::Solver::printIncrementalStats()': src/sat/glucose2/Glucose2.cpp:1371:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1371 | printf("c restarts : %ld\n", starts); | ~~^ ~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1372:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1372 | printf("c nb ReduceDB : %ld\n", nbReduceDB); | ~~^ ~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1373:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1373 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); | ~~^ ~~~~~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1374:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1374 | printf("c nb learnts DL2 : %ld\n", nbDL2); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1375:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1375 | printf("c nb learnts size 2 : %ld\n", nbBin); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1376:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1376 | printf("c nb learnts size 1 : %ld\n", nbUn); | ~~^ ~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1378:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1378 | printf("c conflicts : %ld\n", conflicts); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1379:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1379 | printf("c decisions : %ld\n", decisions); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose2/Glucose2.cpp:1380:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1380 | printf("c propagations : %ld\n", propagations); | ~~^ ~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp: In member function 'void abc::Gluco::Solver::printIncrementalStats()': src/sat/glucose/Glucose.cpp:1189:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1189 | printf("c restarts : %ld\n", starts); | ~~^ ~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1190:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1190 | printf("c nb ReduceDB : %ld\n", nbReduceDB); | ~~^ ~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1191:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1191 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); | ~~^ ~~~~~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1192:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1192 | printf("c nb learnts DL2 : %ld\n", nbDL2); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1193:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1193 | printf("c nb learnts size 2 : %ld\n", nbBin); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1194:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1194 | printf("c nb learnts size 1 : %ld\n", nbUn); | ~~^ ~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1196:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1196 | printf("c conflicts : %ld\n", conflicts); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1197:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1197 | printf("c decisions : %ld\n", decisions); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld src/sat/glucose/Glucose.cpp:1198:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1198 | printf("c propagations : %ld\n", propagations); | ~~^ ~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/System2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcBarBuf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFanio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFanOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -o src/base/abc/abcFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHie.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieGia.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcHieNew.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcLatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcMinBase.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNetlist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:495:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:964, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:495:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/abc/abcObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:515:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:515:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1999 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:571:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:571:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 3 and 2147483649 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 2000 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:572:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:572:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output 2 or more bytes (assuming 2147483648) into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 2000 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Abc_ObjNameDummy(char*, int, int)', inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:573:26: src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483646] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In function 'sprintf', inlined from 'abc::Abc_ObjNameDummy(char*, int, int)' at src/base/abc/abcNames.c:125:12, inlined from 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)' at src/base/abc/abcNames.c:573:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output 2 or more bytes (assuming 2147483648) into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/abc/abcRefs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/extra/extra.h:44, from src/base/abc/abcHieNew.c:28: In function 'abc::Au_ObjFaninC(abc::Au_Obj_t_*, int)', inlined from 'abc::Au_ObjFaninC2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:176:95, inlined from 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1173:42: src/base/abc/abcHieNew.c:173:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 173 | static inline int Au_ObjFaninC( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_LitIsCompl(p->Fanins[i]); } | ~~~~~~~~~~~^ src/base/abc/abcHieNew.c: In function 'abc::Au_NtkDeriveFlatGia_rec(abc::Gia_Man_t_*, abc::Au_Ntk_t_*)': src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ -> ABC: `` Compiling: /src/base/abc/abcSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, inlined from 'abc::Au_ObjFanin2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:172:94, inlined from 'abc::Au_ObjGetXsimFan2(abc::Au_Obj_t_*)' at src/base/abc/abcHieNew.c:1310:30, inlined from 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)' at src/base/abc/abcHieNew.c:1355:30: src/base/abc/abcHieNew.c:165:127: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 165 | static inline int Au_ObjFaninId( Au_Obj_t * p, int i ) { assert(i >= 0 && i < (int)p->nFanins && p->Fanins[i]); return Au_Lit2Var(p->Fanins[i]); } | ~~~~~~~~~~~^ src/base/abc/abcHieNew.c: In function 'abc::Au_NtkTerSimulate_rec(abc::Au_Ntk_t_*)': src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ -> ABC: `` Compiling: /src/base/abci/abcAttach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcAuto.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBidec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCascade.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCollapse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDar.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDebug.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -o src/base/abci/abcDebug.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDetect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDress.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDress2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -o src/base/abci/abcDress2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDress3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -o src/base/abci/abcDress3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcEco.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExtract.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFraig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -o src/base/abci/abcFraig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFxu.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcHaig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIfif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIfMux.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcIvy.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -o src/base/abci/abcLog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcLutmin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -o src/base/abci/abcMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMini.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMiter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcNtbdd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -o src/base/abci/abcNtbdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcNpn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -o src/base/abci/abcNpn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcNpnSave.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -o src/base/abci/abcNpnSave.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcOdc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -o src/base/abci/abcOdc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcProve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQbf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQuant.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRec3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReconv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRefactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRenode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcReorder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRestruct.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRewrite.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRpo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRunGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSaucy.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcScorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSense.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSpeedup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnreach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcVerify.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcXsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAlias.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdAuto.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdFlag.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdHist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': src/base/abci/abcSaucy.c:2654:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] 2654 | && s->clist && s->nextnon[-1] && s->prevnon | ~~~~~~~~~~~~~^ -> ABC: `` Compiling: /src/base/cmd/cmdLoad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdPlugin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdStarter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/io.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioJson.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o gcc -o yosys -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBaf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBench.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlifAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -o src/base/io/ioReadBlifAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEdif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPlaMo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadVerilog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBaf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBench.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBook.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteDot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteEdgelist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c -o src/base/io/ioWriteEdgelist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteGml.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] 492 | union yyalloc | ^ frontends/verilog/verilog_parser.tab.cc:1093:7: note: a different type is defined in another translation unit 1093 | union yyalloc | ^ frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' 494 | yy_state_t yyss_alloc; | ^ frontends/verilog/verilog_parser.tab.cc:1095:14: note: a field of same name but different type is defined in another translation unit 1095 | yy_state_t yyss_alloc; | ^ -> ABC: `` Compiling: /src/base/io/ioWriteList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWritePla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/io/ioWriteEdgelist.c: In function 'int abc::Io_NtkEdgelistWriteNodeGate(FILE*, Abc_Obj_t*, int, int)': src/base/io/ioWriteEdgelist.c:678:29: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 678 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ | | | | long unsigned int abc::word {aka long long unsigned int} | %llu src/base/io/ioWriteEdgelist.c:693:25: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 693 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ | | | | long unsigned int abc::word {aka long long unsigned int} | %llu -> ABC: `` Compiling: /src/base/main/main.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainFrame.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainReal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/libSupport.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/main/mainUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o -> ABC: `` Compiling: /src/base/exor/exorBits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorCubes.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorLink.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/stdio.h:964, from src/base/exor/exor.h:44, from src/base/exor/exorUtil.c:45: In function 'fprintf', inlined from 'abc::WriteResultIntoFile(char*)' at src/base/exor/exorUtil.c:192:16: /usr/include/i386-linux-gnu/bits/stdio2.h:79:24: warning: argument 1 null where non-null expected [-Wnonnull] 79 | return __fprintf_chk (__stream, __USE_FORTIFY_LEVEL - 1, __fmt, | ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 80 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ In file included from /usr/include/stdio.h:948: /usr/include/i386-linux-gnu/bits/stdio2-decl.h: In function 'abc::WriteResultIntoFile(char*)': /usr/include/i386-linux-gnu/bits/stdio2-decl.h:49:12: note: in a call to function '__fprintf_chk' declared 'nonnull' 49 | extern int __fprintf_chk (FILE *__restrict __stream, int __flag, | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/ver/verCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verFormula.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/main/mainReal.c: In function 'int abc::Abc_RealMain(int, char**)': src/base/main/mainReal.c:141:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] 141 | maxMb * (1llu << 20), /* soft limit */ | ~~~~~~^~~~~~~~~~~~~~ src/base/main/mainReal.c:142:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] 142 | maxMb * (1llu << 20) /* hard limit */ | ~~~~~~^~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/ver/verParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verStream.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o -> ABC: `` Compiling: /src/base/wlc/wlcPth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcGraft.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcJson.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o -> ABC: `` Compiling: /src/base/wlc/wlcNdr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] 126 | enum yysymbol_kind_t | frontends/verilog/verilog_parser.tab.cc:361: note: an enum with different value name is defined in another translation unit 361 | enum yysymbol_kind_t | frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ | frontends/verilog/verilog_parser.tab.cc:367: note: mismatching definition 367 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ | cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/string.h:548, from ./src/aig/gia/gia.h:31, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNdr.c:21: In function 'memcpy', inlined from 'abc::Ndr_DataPushArray(abc::Ndr_Data_t_*, int, int, int*)' at ./src/aig/miniaig/ndr.h:209:11, inlined from 'abc::Ndr_DataPushString(abc::Ndr_Data_t_*, int, int, char*)' at ./src/aig/miniaig/ndr.h:222:26, inlined from 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]' at ./src/aig/miniaig/ndr.h:588:23: /usr/include/i386-linux-gnu/bits/string_fortified.h:29:33: warning: 'memcpy' reading 8 bytes from a region of size 4 [-Wstringop-overread] 29 | return __builtin___memcpy_chk (__dest, __src, __len, | ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~ 30 | __glibc_objsize0 (__dest)); | ~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from src/base/wlc/wlcNdr.c:22: ./src/aig/miniaig/ndr.h: In function 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]': ./src/aig/miniaig/ndr.h:570:20: note: source object 'pFunc' of size 4 570 | static inline void Ndr_AddObject( void * pDesign, int ModuleId, | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/wlc/wlcShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcStdin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wlc/wlc.h:34, from src/base/wlc/wlcReadVer.c:21: In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at ./src/misc/util/utilTruth.h:1536:21, inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)' at src/base/wlc/wlcReadVer.c:1001:40: ./src/misc/util/utilTruth.h:176:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 176 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } | ~~~~~~^ src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)': src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 985 | int v, b, Value, nBits, nInts; | ^~~~~ In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at ./src/misc/util/utilTruth.h:1536:21, inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)' at src/base/wlc/wlcReadVer.c:1001:40: ./src/misc/util/utilTruth.h:176:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 176 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)': src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 985 | int v, b, Value, nBits, nInts; | ^~~~~ -> ABC: `` Compiling: /src/base/wlc/wlcUif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wln.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnGuide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnNdr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c -o src/base/wln/wlnRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRetime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnRtl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c -o src/base/wln/wlnRtl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWlc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/string.h:548, from ./src/aig/gia/gia.h:31, from src/base/wln/wln.h:29, from src/base/wln/wlnNdr.c:21: In function 'memcpy', inlined from 'abc::Ndr_DataPushArray(abc::Ndr_Data_t_*, int, int, int*)' at ./src/aig/miniaig/ndr.h:209:11, inlined from 'abc::Ndr_DataPushString(abc::Ndr_Data_t_*, int, int, char*)' at ./src/aig/miniaig/ndr.h:222:26, inlined from 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]' at ./src/aig/miniaig/ndr.h:588:23: /usr/include/i386-linux-gnu/bits/string_fortified.h:29:33: warning: 'memcpy' reading 8 bytes from a region of size 4 [-Wstringop-overread] 29 | return __builtin___memcpy_chk (__dest, __src, __len, | ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~ 30 | __glibc_objsize0 (__dest)); | ~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from src/base/wln/wlnNdr.c:22: ./src/aig/miniaig/ndr.h: In function 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]': ./src/aig/miniaig/ndr.h:570:20: note: source object 'pFunc' of size 4 570 | static inline void Ndr_AddObject( void * pDesign, int ModuleId, | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/acb/acbAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wln/wlnWriteVer.c:21: In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:355:24: src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 3 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 3 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, inlined from 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)' at src/base/wln/wlnWriteVer.c:306:20: src/base/wln/wln.h:111:169: warning: array subscript 2 is above array bounds of 'int[2]' [-Warray-bounds=] 111 | static inline int Wln_ObjFanin( Wln_Ntk_t * p, int i, int f ) { return Wln_ObjFaninNum(p, i) > 2 ? p->vFanins[i].pArray[0][f] : p->vFanins[i].Array[f]; } | ~~~~~~~~~~~~~~~~~~~~~^ src/base/wln/wln.h: In function 'abc::Wln_WriteVerInt(_IO_FILE*, abc::Wln_Ntk_t_*)': src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ -> ABC: `` Compiling: /src/base/acb/acbFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbMfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbPush.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbSets.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbTest.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacBac.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPrsBuild.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPrsTrans.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPtr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacPtrAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaCba.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o -> ABC: `` Compiling: /src/base/cba/cbaNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaHash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaSimple.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -o src/base/pla/plaRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/pla/plaWrite.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/test/test.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCreate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o -> ABC: `` Compiling: /src/map/mapper/mapperMatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperRefs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperSuper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -o src/map/mapper/mapperSuper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -o src/map/mapper/mapperSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTime.c -> ABC: `` Compiling: /src/map/mapper/mapperTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/super.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superAnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o -> ABC: `` Compiling: /src/map/super/superGate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifData2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec07.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec08.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec10.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec16.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec75.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDelay.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibBox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMatch2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifReduce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTest.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -o src/map/if/ifTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTune.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapGraph.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -o src/map/amap/amapGraph.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -o src/map/amap/amapLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapLiberty.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapOutput.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapPerm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -o src/map/amap/amapPerm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -o src/map/amap/amapRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapRule.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -o src/map/amap/amapRule.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapUniq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -o src/map/amap/amapUniq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covBuild.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinEsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/scl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBuffer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBufSize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclDnsize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLiberty.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLibUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclLoad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclSize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclUpsize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmGates.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o -> ABC: `` Compiling: /src/map/mpm/mpmPre.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -o src/map/mpm/mpmUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilCube.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c -> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': src/misc/extra/extraUtilFile.c:150:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] 150 | sprintf( Buffer, "%s%s", pBase, pSuffix ); | ^~ In file included from /usr/include/stdio.h:964, from src/misc/extra/extra.h:41, from src/misc/extra/extraUtilFile.c:21: In function 'sprintf', inlined from 'abc::Extra_FileNameAppend(char*, char*)' at src/misc/extra/extraUtilFile.c:150:12: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 1 and 999 bytes into a destination of size 500 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from src/misc/extra/extraUtilCube.c:25: src/misc/extra/extraUtilCube.c: In function 'abc::Abc_EnumerateCubeStates()': ./src/misc/util/abc_global.h:264:50: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 264 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/13/cstdlib:79, from /usr/include/c++/13/stdlib.h:36, from src/misc/extra/extraUtilCube.c:22: /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ -> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilPath.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilReader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilUtil.c: In function 'int abc::Extra_UtilGetopt(int, char**, const char*)': src/misc/extra/extraUtilUtil.c:100:18: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 100 | register int c; | ^ src/misc/extra/extraUtilUtil.c:101:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 101 | register const char *place; | ^~~~~ -> ABC: `` Compiling: /src/misc/mvc/mvcApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcContain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCover.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCube.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcDivide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o -> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcLits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o -> ABC: `` Compiling: /src/misc/mvc/mvcMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcSort.c -> ABC: `` Compiling: /src/misc/mvc/mvcUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/st.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/stmm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilBridge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 2556 | printf( "%d", (int)((*pT >> i) & 1) ); | ^~~ src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 2560 | int i, j, k, n = 4; | ^ In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] 2556 | printf( "%d", (int)((*pT >> i) & 1) ); | ^~~ src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 2560 | int i, j, k, n = 4; | ^ -> ABC: `` Compiling: /src/misc/util/utilColor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilFile.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilNam.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilSignal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -o src/misc/util/utilSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/nm/nmApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -o src/misc/nm/nmApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/nm/nmTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -o src/misc/nm/nmTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timBox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -o src/misc/tim/timBox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timDump.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timTrav.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mem/mem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bar/bar.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bbl/bblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/parse/parseStack.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o -> ABC: `` Compiling: /src/opt/cut/cutCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutNode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o -> ABC: `` Compiling: /src/opt/cut/cutOracle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o -> ABC: `` Compiling: /src/opt/cut/cutPre22.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxu.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuCreate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -o src/opt/fxu/fxuCreate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPair.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuReduce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o -> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o -> ABC: `` Compiling: /src/opt/fxch/Fxch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/opt/fxu/fxuReduce.c:19: src/opt/fxu/fxuReduce.c: In function 'abc::Fxu_PreprocessCubePairs(abc::FxuMatrix*, abc::Vec_Ptr_t_*, int, int)': ./src/misc/util/abc_global.h:264:50: warning: argument 1 value '4294967294' exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 264 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/13/cstdlib:79, from /usr/include/c++/13/stdlib.h:36, from ./src/base/abc/abc.h:30: /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ -> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o -> ABC: `` Compiling: /src/opt/fxch/FxchMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrEva.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrExp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwr/rwrUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsDiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o -> ABC: `` Compiling: /src/opt/mfs/mfsSat.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -o src/opt/mfs/mfsWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSym.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymStr.c -> ABC: `` Compiling: /src/opt/sim/simUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retArea.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retDelay.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retFlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o -> ABC: `` Compiling: /src/opt/ret/retIncrem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retLvalue.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretMain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretFlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o -> ABC: `` Compiling: /src/opt/res/resCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resDivs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMulti.c -> ABC: `` Compiling: /src/opt/lpk/lpkMux.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkSets.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkBidec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkFanio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o -> ABC: `` Compiling: /src/opt/nwk/nwkFlow.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o -> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -o src/opt/nwk/nwkSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -o src/opt/nwk/nwkStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -o src/opt/nwk/nwkTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/rwt/rwtUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o -> ABC: `` Compiling: /src/opt/cgt/cgtSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o -> ABC: `` Compiling: /src/opt/dar/darCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darData.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darPrec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darRefact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darScript.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCount.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauDivs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauEnum.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauGia.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -o src/opt/dau/dauMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNonDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -o src/opt/dau/dauNonDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNpn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauNpn2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dsc/dsc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmArea.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': src/opt/dau/dauTree.c:1492:22: warning: array subscript i_40 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); | ~~~~~~~~~~~~~^ src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' 36 | unsigned char pFans[0]; // fanins | ^~~~~ src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' 48 | unsigned char pShared[0]; // shared literals | ^~~~~~~ src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' 48 | unsigned char pShared[0]; // shared literals | ^~~~~~~ -> ABC: `` Compiling: /src/opt/sfm/sfmSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmTim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmMit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdCut2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdPath.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sbd/sbdWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterA.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterB.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterP.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o -> ABC: `` Compiling: /src/sat/bsat/satProof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2i.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o -> ABC: `` Compiling: /src/sat/bsat/satSolver3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satStore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTrace.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver_api.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o In file included from src/sat/xsat/xsatCnfReader.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 227 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:229:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 229 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld In file included from src/sat/xsat/xsatSolver.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 227 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:229:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 229 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld In file included from src/sat/xsat/xsatSolverAPI.c:29: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 227 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolver.h:229:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 229 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolverAPI.c: In function 'void abc::xSAT_SolverPrintStats(xSAT_Solver_t*)': src/sat/xsat/xsatSolverAPI.c:341:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 341 | printf( "conflicts : %10ld\n", s->Stats.nConflicts ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolverAPI.c:342:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 342 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld src/sat/xsat/xsatSolverAPI.c:343:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 343 | printf( "propagations : %10ld\n", s->Stats.nPropagations ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~~~~ | | | | long int abc::iword {aka long long int} | %10lld -> ABC: `` Compiling: /src/sat/satoko/cnf_reader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/csat/csat_apis.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatActivity.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatClause.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatClauseVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatOrderH.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatQueue.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverIo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o -> ABC: `` Compiling: /src/sat/cnf/cnfCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfData.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfFast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfPost.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c -> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmci.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcChain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcClp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcEco.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcExpand.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -o src/sat/bmc/bmcExpand.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcFault.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -o src/sat/bmc/bmcFault.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -o src/sat/bmc/bmcFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcICheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcInse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcLoad.c -> ABC: `` Compiling: /src/sat/bmc/bmcMaj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o -> ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/bdc/bdcTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decFactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o -> ABC: `` Compiling: /src/bool/dec/decMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitBdd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitCloud.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/cloud.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitFactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitGraph.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bool/bdc/bdcSpfd.c: In function 'abc::Bdc_SpfdDecomposeTest__(abc::Vec_Int_t_**)': src/bool/bdc/bdcSpfd.c:602:28: warning: product '250000000 * 24' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 602 | p = (Bdc_Ent_t *)calloc( nFuncs, sizeof(Bdc_Ent_t) ); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/13/cstdlib:79, from /usr/include/c++/13/stdlib.h:36, from ./src/bool/kit/kit.h:30, from src/bool/bdc/bdcInt.h:29, from src/bool/bdc/bdcSpfd.c:21: /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ -> ABC: `` Compiling: /src/bool/kit/kitHop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitPla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/lucky.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast16.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySimple.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbDec6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rpo/rpo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/bool/kit/kitDsd.c:21: In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrIncr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrInv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from ./src/aig/aig/aig.h:34, from ./src/aig/saig/saig.h:29, from src/proof/pdr/pdrInt.h:28, from src/proof/pdr/pdrInv.c:21: src/proof/pdr/pdrInv.c: In function 'abc::Pdr_InvPrintStr(abc::Vec_Int_t_*, abc::Vec_Int_t_*)': ./src/misc/util/abc_global.h:263:50: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 263 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/13/cstdlib:79, from /usr/include/c++/13/stdlib.h:36, from ./src/aig/aig/aig.h:30: /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from ./src/aig/aig/aig.h:34, from ./src/aig/saig/saig.h:29, from src/sat/bmc/bmc.h:29, from src/sat/bmc/bmcMaj.c:21: In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3093:24: ./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': src/sat/bmc/bmcMaj.c:3082:10: note: 'Entry' declared here 3082 | word Entry, Truths[100] = { 0x96, 0xE8 }; | ^~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGlaOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absIter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldRef.c -> ABC: `` Compiling: /src/proof/abs/absOldSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3695:24: ./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here 3693 | word Entry; int i; | ^~~~~ In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3698:24: ./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here 3693 | word Entry; int i; | ^~~~~ -> ABC: `` Compiling: /src/proof/abs/absPth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRef.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRefSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpmOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absVta.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness_sim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/ltl_parser.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kliveness.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/monotone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/arenaViolation.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/kLiveConstraints.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/combination.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscCore.c -> ABC: `` Compiling: /src/proof/ssc/sscSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] 1896 | if ( p->pPars->fVerbose && Status == -1 ) | ~~~~~~~^~~~~ src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; | ^~~~~~ -> ABC: `` Compiling: /src/proof/ssc/sscUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intContain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCtrex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o -> ABC: `` Compiling: /src/proof/int/intDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intFrames.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intM114.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecChoice.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecPat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c -o src/proof/cec/cecSatG3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolveG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSynth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -o src/proof/acec/acecCo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecBo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecRe.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPool.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecCover.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecFadds.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecMult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecNorm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecPolyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecSt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acec2Mult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o -> ABC: `` Compiling: /src/proof/acec/acecXor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchChoice.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSimSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -o src/proof/dch/dchSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -o src/proof/fraig/fraigApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -o src/proof/fraig/fraigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigFeed.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigNode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClau.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClaus.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o -> ABC: `` Compiling: /src/proof/fra/fraCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraHot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraImp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraInd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o -> ABC: `` Compiling: /src/proof/fra/fraIndVer.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraLcr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswConstr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswDyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswIslands.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswLcorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o -> ABC: `` Compiling: /src/proof/ssw/sswMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPairs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o -> ABC: `` Compiling: /src/proof/ssw/sswRarity.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSemi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswUnique.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCheck.c -> ABC: `` Compiling: /src/aig/aig/aigCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCuts.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFrames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigJust.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMem.c -> ABC: `` Compiling: /src/aig/aig/aigMffc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPack.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o -> ABC: `` Compiling: /src/aig/aig/aigPartReg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRepr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRet.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRetF.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o -> ABC: `` Compiling: /src/aig/aig/aigSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigCone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigConstr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigConstr2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDual.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigInd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIoa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoFast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigMiter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigOutDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigPhase.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetFwd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetMin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetStep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimFast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o -> ABC: `` Compiling: /src/aig/saig/saigSimSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigStrSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSynch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTempor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o -> ABC: `` Compiling: /src/aig/saig/saigTrans.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigWnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAgi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAigerExt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBalMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaBidec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaClp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSatOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSat3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c -o src/aig/gia/giaCSat3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSatP.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c -o src/aig/gia/giaCSatP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCTas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDecs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c -o src/aig/gia/giaDecs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDeep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c -o src/aig/gia/giaDeep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEdge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEmbed.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o -> ABC: `` Compiling: /src/aig/gia/giaEnable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEquiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEra.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEra2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaExist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFalse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:33, from src/aig/gia/gia.h:34, from src/aig/gia/giaEsop.c:21: In function 'abc::Vec_StrPush(abc::Vec_Str_t_*, char)', inlined from 'abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)' at src/aig/gia/giaEsop.c:125:16, inlined from 'abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)' at src/aig/gia/giaEsop.c:112:6: ./src/misc/vec/vecStr.h:562:27: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=] 562 | p->pArray[p->nSize++] = Entry; | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ In file included from ./src/misc/vec/vec.h:29: In function 'abc::Vec_StrGrow(abc::Vec_Str_t_*, int)', inlined from 'abc::Vec_StrGrow(abc::Vec_Str_t_*, int)' at ./src/misc/vec/vecStr.h:422:20, inlined from 'abc::Vec_StrPush(abc::Vec_Str_t_*, char)' at ./src/misc/vec/vecStr.h:558:24, inlined from 'abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)' at src/aig/gia/giaEsop.c:125:16, inlined from 'abc::Eso_ManCoverPrint(abc::Eso_Man_t_*, abc::Vec_Int_t_*)' at src/aig/gia/giaEsop.c:112:6: ./src/misc/util/abc_global.h:268:35: note: at offset [-2147483644, -1] into destination object of size 16 allocated by 'realloc' 268 | ((obj) ? ((type *) realloc((char *)(obj), sizeof(type) * (size_t)(num))) : \ | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ./src/misc/util/abc_global.h:269:26: note: at offset [-2147483644, -1] into destination object of size 16 allocated by 'malloc' 269 | ((type *) malloc(sizeof(type) * (size_t)(num)))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaForce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFrames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFront.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c -o src/aig/gia/giaGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaGlitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaHash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIff.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIiff.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaIso3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaJf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaKf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaLf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMini.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaMinLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMuxes.c src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1312:26: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In file included from /usr/include/stdio.h:964, from src/aig/gia/gia.h:29, from src/aig/gia/giaMan.c:23: In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1312:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1315:26: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1315:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1319:26: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1319:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1323:26: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManWriteNames(_IO_FILE*, char, int, abc::Vec_Ptr_t_*, int, int, abc::Vec_Bit_t_*)' at src/aig/gia/giaMan.c:1264:35, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1323:26: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1337:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1337:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1343:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1343:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1399:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 178956970] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1399:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1404:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 178956970] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1404:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1424:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1424:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1425:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [-536870911, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1425:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1426:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [-536870911, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1426:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1430:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1430:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1431:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [0, 2147483646] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1431:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ In function 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)', inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1450:20: src/aig/gia/giaMan.c:1252:27: note: directive argument in the range [-536870911, 178956970] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~~~~~~~ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1450:20: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaNf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1200:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1201:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1236:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1252:38: src/aig/gia/giaLf.c:360:17: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 360 | p->pLeaves[0] = i; | ~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutMux(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:593:28, inlined from 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:1360:29: src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); | ~~~~~~~~~~~~~~~^ src/aig/gia/giaLf.c: In function 'abc::Lf_ObjMergeOrder(abc::Lf_Man_t_*, int)': src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaOf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPack.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPat2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaQbf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaReshape1.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaReshape2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaRetime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaRex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatLE.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/sat/bsat/satSolver.h:29, from ./src/sat/bsat/satStore.h:36, from src/aig/gia/giaOf.c:30: src/aig/gia/giaOf.c: In function 'abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)': src/aig/gia/giaOf.c:1324:21: warning: 'Delays' may be used uninitialized [-Wmaybe-uninitialized] 1324 | assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 ); | ~~~~~~~~^ src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; | ^~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSatLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatoko.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatSyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c -o src/aig/gia/giaSatSyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSat3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaScript.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink6.c -> ABC: `` Compiling: /src/aig/gia/giaShrink7.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSim2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSimBase.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c -o src/aig/gia/giaSimBase.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSpeedup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStoch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c -o src/aig/gia/giaStoch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaStr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupMin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSupps.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSweeper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTis.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTranStoch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c -o src/aig/gia/giaTranStoch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o -> ABC: `` Compiling: /src/aig/ivy/ivyDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFraig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 407 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 486 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 494 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); | ~~~~~~~~~~~~~~~~^ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o -> ABC: `` Compiling: /src/aig/ivy/ivyObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyResyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivySeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaSimBase.c:21: In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: ./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here 3586 | word Entry; int i; | ^~~~~ In function 'abc::Abc_InfoHasBit(unsigned int*, int)', inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: ./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } | ~~~~~~~~^ src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here 3586 | word Entry; int i; | ^~~~~ -> ABC: `` Compiling: /src/aig/hop/hopTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o -> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddBddIte.c: In function 'int abc::bddVarToCanonical(DdManager*, DdNode**, DdNode**, DdNode**, unsigned int*, unsigned int*, unsigned int*)': src/bdd/cudd/cuddBddIte.c:1206:34: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1206:38: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1206:42: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1206:46: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1206:50: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1206:54: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1206:58: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1207:33: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1207 | register unsigned int topf, topg, toph; | ^~~~ src/bdd/cudd/cuddBddIte.c:1207:39: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1207 | register unsigned int topf, topg, toph; | ^~~~ src/bdd/cudd/cuddBddIte.c:1207:45: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1207 | register unsigned int topf, topg, toph; | ^~~~ src/bdd/cudd/cuddBddIte.c: In function 'int abc::bddVarToCanonicalSimple(DdManager*, DdNode**, DdNode**, DdNode**, unsigned int*, unsigned int*, unsigned int*)': src/bdd/cudd/cuddBddIte.c:1308:34: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1308 | register DdNode *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1308:38: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1308 | register DdNode *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1308:42: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1308 | register DdNode *r, *f, *g, *h; | ^ src/bdd/cudd/cuddBddIte.c:1308:46: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1308 | register DdNode *r, *f, *g, *h; | ^ -> ABC: `` Compiling: /src/bdd/cudd/cuddCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddCache.c: In function 'void abc::cuddCacheInsert(DdManager*, ptruint, DdNode*, DdNode*, DdNode*, DdNode*)': src/bdd/cudd/cuddCache.c:232:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 232 | register DdCache *entry; | ^~~~~ src/bdd/cudd/cuddCache.c: In function 'void abc::cuddCacheInsert2(DdManager*, DD_CTFP, DdNode*, DdNode*, DdNode*)': src/bdd/cudd/cuddCache.c:286:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 286 | register DdCache *entry; | ^~~~~ src/bdd/cudd/cuddCache.c: In function 'void abc::cuddCacheInsert1(DdManager*, DD_CTFP1, DdNode*, DdNode*)': src/bdd/cudd/cuddCache.c:331:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 331 | register DdCache *entry; | ^~~~~ -> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o -> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddExport.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o -> ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddRef.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSign.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddSat.c: In function 'abc::DdNode* abc::Cudd_LargestCube(DdManager*, DdNode*, int*)': src/bdd/cudd/cuddSat.c:282:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 282 | register DdNode *F; | ^ src/bdd/cudd/cuddSat.c: In function 'int abc::Cudd_ShortestLength(DdManager*, DdNode*, int*)': src/bdd/cudd/cuddSat.c:354:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 354 | register DdNode *F; | ^ -> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddSplit.c: In function 'double abc::bddAnnotateMintermCount(DdManager*, DdNode*, double, st__table*)': src/bdd/cudd/cuddSplit.c:641:21: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 641 | register double min_v,min_nv; | ^~~~~ src/bdd/cudd/cuddSplit.c:641:27: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 641 | register double min_v,min_nv; | ^~~~~~ src/bdd/cudd/cuddSplit.c:642:21: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 642 | register double min_N; | ^~~~~ -> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o -> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o -> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdProc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/epd/epd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoProfile.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoShuffle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSift.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSwap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoUnits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cas/casDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrImage.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/bbr/bbrReach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o -> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Core.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Group.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Hint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Man.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Reach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Sched.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o -> ABC: `` Compiling: /src/bdd/llb/llb2Bad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Core.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Driver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Dump.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb4Cex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb4Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ In function 'abc::Llb_ManGetDummyName(char*, int, int)', inlined from 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)' at src/bdd/llb/llb2Dump.c:77:36: src/bdd/llb/llb2Dump.c:48:22: note: directive argument in the range [0, 2147483646] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:964, from src/bdd/llb/llbInt.h:29, from src/bdd/llb/llb2Dump.c:21: In function 'sprintf', inlined from 'abc::Llb_ManGetDummyName(char*, int, int)' at src/bdd/llb/llb2Dump.c:48:12, inlined from 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)' at src/bdd/llb/llb2Dump.c:77:36: /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 2000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 31 | __glibc_objsize (__s), __fmt, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Building binary: abc-bb64142 gcc -o abc-bb64142 src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++ src/sat/glucose/Glucose.cpp:913:8: warning: type 'struct reduceDB_lt' violates the C++ One Definition Rule [-Wodr] 913 | struct reduceDB_lt { | ^ src/sat/glucose2/Glucose2.cpp:1073:8: note: a different type is defined in another translation unit 1073 | struct reduceDB_lt { | ^ src/sat/glucose/Glucose.cpp:914:22: note: the first difference of corresponding definitions is field 'ca' 914 | ClauseAllocator& ca; | ^ src/sat/glucose2/Glucose2.cpp:1074:22: note: a field of same name but different type is defined in another translation unit 1074 | ClauseAllocator& ca; | ^ ./src/sat/glucose/SolverTypes.h:217:7: note: type name 'abc::Gluco::ClauseAllocator' should match type name 'abc::Gluco2::ClauseAllocator' 217 | class ClauseAllocator : public RegionAllocator | ^ ./src/sat/glucose2/SolverTypes.h:223:7: note: the incompatible type is defined here 223 | class ClauseAllocator : public RegionAllocator | ^ src/map/if/ifTest.c:209:16: warning: type 'struct Par_ThData_t_' violates the C++ One Definition Rule [-Wodr] 209 | typedef struct Par_ThData_t_ | ^ src/sat/bmc/bmcBmcS.c:733:16: note: a different type is defined in another translation unit 733 | typedef struct Par_ThData_t_ | ^ src/map/if/ifTest.c:211:17: note: the first difference of corresponding definitions is field 'p' 211 | Gia_Man_t * p; | ^ src/sat/bmc/bmcBmcS.c:735:23: note: a field with different name is defined in another translation unit 735 | bmc_sat_solver * pSat; | ^ src/base/pla/plaHash.c:72:8: warning: type 'struct Tab_Obj_t_' violates the C++ One Definition Rule [-Wodr] 72 | struct Tab_Obj_t_ | ^ src/sat/bmc/bmcFx.c:50:8: note: a different type is defined in another translation unit 50 | struct Tab_Obj_t_ | ^ src/base/pla/plaHash.c:76:17: note: the first difference of corresponding definitions is field 'Cube' 76 | int Cube; | ^ src/sat/bmc/bmcFx.c:54:17: note: a field with different name is defined in another translation unit 54 | unsigned Cost : 17; | ^ src/sat/bmc/bmcMaj.c:44:8: warning: type 'struct Maj_Man_t_' violates the C++ One Definition Rule [-Wodr] 44 | struct Maj_Man_t_ | ^ src/sat/bmc/bmcMaj2.c:36:8: note: a different type is defined in another translation unit 36 | struct Maj_Man_t_ | ^ src/sat/bmc/bmcMaj.c:53:23: note: the first difference of corresponding definitions is field 'vInfo' 53 | Vec_Wrd_t * vInfo; // Const0 + Const1 + nVars + nNodes + Maj(nVars) | ^ src/sat/bmc/bmcMaj2.c:45:23: note: a field with different name is defined in another translation unit 45 | int fUseRand; // use random topology | ^ src/sat/bmc/bmcMaj.c:419:8: warning: type 'struct Exa_Man_t_' violates the C++ One Definition Rule [-Wodr] 419 | struct Exa_Man_t_ | ^ src/sat/bmc/bmcMaj2.c:517:8: note: a different type is defined in another translation unit 517 | struct Exa_Man_t_ | ^ src/sat/bmc/bmcMaj.c:429:23: note: the first difference of corresponding definitions is field 'VarMarks' 429 | int VarMarks[MAJ_NOBJS][2][MAJ_NOBJS]; // variable marks | ^ src/sat/bmc/bmcMaj2.c:527:23: note: a field of same name but different type is defined in another translation unit 527 | int VarMarks[MAJ_NOBJS][2][MAJ_NOBJS]; // variable marks | ^ src/sat/bmc/bmcMaj.c:419:8: note: array types have different bounds 419 | struct Exa_Man_t_ | ^ src/sat/bmc/bmcMaj.c:971:8: warning: type 'struct Exa3_Man_t_' violates the C++ One Definition Rule [-Wodr] 971 | struct Exa3_Man_t_ | ^ src/sat/bmc/bmcMaj2.c:898:8: note: a different type is defined in another translation unit 898 | struct Exa3_Man_t_ | ^ src/sat/bmc/bmcMaj.c:983:23: note: the first difference of corresponding definitions is field 'vUsed2' 983 | Vec_Bit_t * vUsed2; // bit masks | ^ src/sat/bmc/bmcMaj2.c:910:23: note: a field with different name is defined in another translation unit 910 | int VarMarks[MAJ_NOBJS][6][MAJ_NOBJS]; // variable marks | ^ src/map/cov/covInt.h:37:8: warning: type 'struct Min_Man_t_' violates the C++ One Definition Rule [-Wodr] 37 | struct Min_Man_t_ | ^ src/aig/gia/giaPat2.c:35:8: note: a different type is defined in another translation unit 35 | struct Min_Man_t_ | ^ src/map/cov/covInt.h:39:23: note: the first difference of corresponding definitions is field 'nVars' 39 | int nVars; // the number of vars | ^ src/aig/gia/giaPat2.c:37:22: note: a field with different name is defined in another translation unit 37 | int nCis; | ^ src/base/abci/abcPart.c:33:8: warning: type 'struct Supp_Man_t_' violates the C++ One Definition Rule [-Wodr] 33 | struct Supp_Man_t_ | ^ src/aig/gia/giaSupps.c:34:8: note: a different type is defined in another translation unit 34 | struct Supp_Man_t_ | ^ src/base/abci/abcPart.c:35:22: note: the first difference of corresponding definitions is field 'nChunkSize' 35 | int nChunkSize; // the size of one chunk of memory (~1 MB) | ^ src/aig/gia/giaSupps.c:37:17: note: a field with different name is defined in another translation unit 37 | int nIters; // optimization rounds | ^ src/bdd/cudd/cuddApprox.c:120:16: warning: type 'struct NodeData' violates the C++ One Definition Rule [-Wodr] 120 | typedef struct NodeData { | ^ src/bdd/cudd/cuddSubsetHB.c:103: note: a different type is defined in another translation unit 103 | struct NodeData { | src/bdd/cudd/cuddApprox.c:121:12: note: the first difference of corresponding definitions is field 'mintermsP' 121 | double mintermsP; /* minterms for the regular node */ | ^ src/bdd/cudd/cuddSubsetHB.c:104: note: a field with different name is defined in another translation unit 104 | double *mintermPointer; | src/bdd/llb/llb3Image.c:47: warning: type 'struct Llb_Mgr_t_' violates the C++ One Definition Rule [-Wodr] 47 | struct Llb_Mgr_t_ | src/bdd/llb/llb4Image.c:47: note: a different type is defined in another translation unit 47 | struct Llb_Mgr_t_ | src/bdd/llb/llb3Image.c:49: note: the first difference of corresponding definitions is field 'pAig' 49 | Aig_Man_t * pAig; // AIG manager | src/bdd/llb/llb4Image.c:49: note: a field with different name is defined in another translation unit 49 | DdManager * dd; // working BDD manager | src/base/abci/abc.c:34309:17: warning: 'Gia_ManSimRsb' violates the C++ One Definition Rule [-Wodr] 34309 | extern void Gia_ManSimRsb( Gia_Man_t * p, int nCands, int fVerbose ); | ^ src/aig/gia/giaSimBase.c:1059:5: note: return value type mismatch 1059 | int Gia_ManSimRsb( Gia_Man_t * pGia, int nCands, int fVerbose ) | ^ src/aig/gia/giaSimBase.c:1059:5: note: type 'int' should match type 'void' src/aig/gia/giaSimBase.c:1059:5: note: 'Gia_ManSimRsb' was previously declared here In function 'memcpy', inlined from 'Ndr_DataPushArray' at ./src/aig/miniaig/ndr.h:209:0, inlined from 'Ndr_DataPushString' at ./src/aig/miniaig/ndr.h:222:0, inlined from 'Ndr_AddObject.constprop' at ./src/aig/miniaig/ndr.h:588:0: /usr/include/i386-linux-gnu/bits/string_fortified.h:29: warning: '__builtin_memcpy' reading 8 bytes from a region of size 4 [-Wstringop-overread] 29 | return __builtin___memcpy_chk (__dest, __src, __len, | ./src/aig/miniaig/ndr.h: In function 'Ndr_AddObject.constprop': ./src/aig/miniaig/ndr.h:570:20: note: source object 'pFunc' of size 4 570 | static inline void Ndr_AddObject( void * pDesign, int ModuleId, | ^ src/bool/bdc/bdcSpfd.c: In function 'Bdc_SpfdDecomposeTest__': src/bool/bdc/bdcSpfd.c:602:28: warning: product '250000000 * 24' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 602 | p = (Bdc_Ent_t *)calloc( nFuncs, sizeof(Bdc_Ent_t) ); | ^ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ src/proof/pdr/pdrInv.c: In function 'Pdr_InvPrintStr': src/proof/pdr/pdrInv.c:673:22: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 673 | char * pBuffer = ABC_ALLOC( char, (size_t)(unsigned)nVars ); | ^ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^ src/opt/dau/dauTree.c: In function 'Dss_ManSharedMap': src/opt/dau/dauTree.c:1528:50: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=] 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; | ^ src/opt/dau/dauTree.c:48:20: note: destination object 'pShared' of size 0 48 | unsigned char pShared[0]; // shared literals | ^ src/opt/dau/dauTree.c:1529:50: warning: writing 1 byte into a region of size 0 [-Wstringop-overflow=] 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); | ^ src/opt/dau/dauTree.c:48:20: note: at offset [1, 63] into destination object 'pShared' of size 0 48 | unsigned char pShared[0]; // shared literals | ^ src/opt/fxu/fxuReduce.c: In function 'Fxu_PreprocessCubePairs': src/opt/fxu/fxuReduce.c:89:22: warning: argument 1 value '4294967294' exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 89 | pnPairCounters = ABC_CALLOC( int, 2 * nBitsMax ); | ^ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ src/misc/extra/extraUtilCube.c: In function 'Abc_EnumerateCubeStates': src/misc/extra/extraUtilCube.c:200:27: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] 200 | word State, * pHash = ABC_CALLOC( word, 1 << 29 ); // 4 GB | ^ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/abc' cp abc/abc-bb64142 yosys-abc Build successful. make[2]: Leaving directory '/build/reproducible-path/yosys-0.33' make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' rm -f debian/yosys-abc.debhelper.log debian/yosys-dev.debhelper.log debian/yosys.debhelper.log debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/reproducible-path/yosys-0.33' dh_auto_build -- docs DOC_TARGET=latexpdf make -j10 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf make[2]: Entering directory '/build/reproducible-path/yosys-0.33' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: mkdir -p docs/source/cmd make -C docs/images all ./yosys -p 'help -write-rst-command-reference-manual' >/dev/null mkdir -p docs/source/temp make[3]: Entering directory '/build/reproducible-path/yosys-0.33/docs/images' dot -Tpdf -o 011/cmos_00.pdf ../source/APPNOTE_011_Design_Investigation/cmos_00.dot cp -f guidelines/GettingStarted guidelines/CodingStyle docs/source/temp dot -Tpdf -o 011/cmos_01.pdf ../source/APPNOTE_011_Design_Investigation/cmos_01.dot dot -Tpdf -o 011/example_00.pdf ../source/APPNOTE_011_Design_Investigation/example_00.dot dot -Tpdf -o 011/example_01.pdf ../source/APPNOTE_011_Design_Investigation/example_01.dot dot -Tpdf -o 011/example_02.pdf ../source/APPNOTE_011_Design_Investigation/example_02.dot dot -Tpdf -o 011/example_03.pdf ../source/APPNOTE_011_Design_Investigation/example_03.dot dot -Tpdf -o 011/memdemo_00.pdf ../source/APPNOTE_011_Design_Investigation/memdemo_00.dot dot -Tpdf -o 011/memdemo_01.pdf ../source/APPNOTE_011_Design_Investigation/memdemo_01.dot dot -Tpdf -o 011/splice.pdf ../source/APPNOTE_011_Design_Investigation/splice.dot dot -Tpdf -o 011/submod_00.pdf ../source/APPNOTE_011_Design_Investigation/submod_00.dot dot -Tpdf -o 011/submod_01.pdf ../source/APPNOTE_011_Design_Investigation/submod_01.dot dot -Tpdf -o 011/submod_02.pdf ../source/APPNOTE_011_Design_Investigation/submod_02.dot dot -Tpdf -o 011/submod_03.pdf ../source/APPNOTE_011_Design_Investigation/submod_03.dot dot -Tpdf -o 011/sumprod_00.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_00.dot dot -Tpdf -o 011/sumprod_01.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_01.dot dot -Tpdf -o 011/sumprod_02.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_02.dot dot -Tpdf -o 011/sumprod_03.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_03.dot dot -Tpdf -o 011/sumprod_04.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_04.dot dot -Tpdf -o 011/sumprod_05.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_05.dot pdflatex approach_flow.tex --interaction=nonstopmode This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./approach_flow.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.stypdflatex basics_abstractions.tex --interaction=nonstopmode ) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. ))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)pdflatex basics_ast.tex --interaction=nonstopmode ) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te xentering extended mode (./basics_abstractions.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))pdflatex basics_flow.tex --interaction=nonstopmode (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.texpdflatex basics_parsetree.tex --interaction=nonstopmode (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex )) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex))entering extended mode (./basics_ast.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defentering extended mode (./basics_flow.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)pdflatex overview_flow.tex --interaction=nonstopmode (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.texentering extended mode (./basics_parsetree.tex) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex No file approach_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )pdflatex overview_rtlil.tex --interaction=nonstopmode (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex No file basics_abstractions.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) )(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.texentering extended mode (./overview_flow.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex))entering extended mode (./overview_rtlil.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))}] (./approach_flow.aux) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.stypdflatex verilog_flow.tex --interaction=nonstopmode )< /usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty> (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) Output written on approach_flow.pdf (1 page, 15288 bytes). Transcript written on approach_flow.log. (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex )cd 011 && pdflatex example_out.tex --interaction=nonstopmode (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. ) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) No file basics_ast.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))} (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex] (./basics_abstractions.aux) )< (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex/usr/share/texlive/texmf-dist/fonts/type1/public/ amsfonts/cm/cmr12.pfb)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex>) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex Output written on basics_abstractions.pdf (1 page, 16368 bytes). Transcript written on basics_abstractions.log. ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.texcd 011 && pdflatex splitnets_libfile.tex --interaction=nonstopmode )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex)entering extended mode (./example_out.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)cd 011 && pdflatex submod_dots.tex --interaction=nonstopmode (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))entering extended mode (./verilog_flow.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex )) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f)) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex)))entering extended mode (./splitnets_libfile.tex)) LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty}) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def]))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex (./basics_ast.aux)) )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) Output written on basics_ast.pdf (1 page, 7708 bytes). Transcript written on basics_ast.log. ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))))pdf2svg approach_flow.pdf approach_flow.svg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) )(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex)pdf2svg basics_abstractions.pdf basics_abstractions.svg )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ))))entering extended mode (./submod_dots.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex pdf2svg basics_ast.pdf basics_ast.svg (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex)) No file basics_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex )) LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex No file splitnets_libfile.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] )))) ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.texpdf2svg 011/cmos_00.pdf 011/cmos_00.svg ) (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)) (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.stypdf2svg 011/cmos_01.pdf 011/cmos_01.svg (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))))) pdfTeX warning: pdflatex (file ./cmos_00.pdf): (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.texPDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./cmos_01.pdf): ) Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed [1 <./cmos_00.pdf> <./cmos_01.pdf pdfTeX warning: pdflatex (file ./cmos_01.pdf): PDF inclusion: multiple pdfs wit h page group included in a single page >] (./splitnets_libfile.aux) ) Output written on splitnets_libfile.pdf (1 page, 16976 bytes). Transcript written on splitnets_libfile.log. (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex )pdf2svg 011/example_00.pdf 011/example_00.svg ) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.stypdf2svg 011/example_01.pdf 011/example_01.svg (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.stypdf2svg 011/example_02.pdf 011/example_02.svg ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.stypdf2svg 011/example_03.pdf 011/example_03.svg ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex)pdf2svg 011/memdemo_00.pdf 011/memdemo_00.svg (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.stypdf2svg 011/memdemo_01.pdf 011/memdemo_01.svg ) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex)pdf2svg 011/splice.pdf 011/splice.svg ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex))pdf2svg 011/submod_00.pdf 011/submod_00.svg ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.texpdf2svg 011/submod_01.pdf 011/submod_01.svg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)pdf2svg 011/submod_02.pdf 011/submod_02.svg ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f)))))))))pdf2svg 011/submod_03.pdf 011/submod_03.svg ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.texpdf2svg 011/sumprod_00.pdf 011/sumprod_00.svg (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.stypdf2svg 011/sumprod_01.pdf 011/sumprod_01.svg (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)))pdf2svg 011/sumprod_02.pdf 011/sumprod_02.svg )pdf2svg 011/sumprod_03.pdf 011/sumprod_03.svg No file overview_rtlil.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty No file example_out.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiipdf2svg 011/sumprod_04.pdf 011/sumprod_04.svg (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) [Loading MPS to PDF converter (version 2006.09.02).] )pdf2svg 011/sumprod_05.pdf 011/sumprod_05.svg ) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.styrm -f *.log )rm -f *.aux (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)rm -f 011/*.log 011/*.aux (/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty No file overview_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltxcd 011 && pdflatex select_prod.tex --interaction=nonstopmode ) [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)})] ! I can't find file `basics_flow.aux'. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.44 \end{document} (Press Enter to retry, or Control-D to exit) Please type another input file name: ! Emergency stop. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.44 \end{document} ! ==> Fatal error occurred, no output PDF file produced! Transcript written on basics_flow.log. pdf2svg 011/splitnets_libfile.pdf 011/splitnets_libfile.svg (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.stymake[3]: *** [Makefile:35: basics_flow.pdf] Error 1 make[3]: *** Waiting for unfinished jobs.... ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex pdfTeX warning: pdflatex (file ./example_00.pdf): PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./example_01.pdf): )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x)PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./example_02.pdf): (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .texPDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed [1 <./example_00.pdf> <./example_01.pdf pdfTeX warning: pdflatex (file ./example_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >) <./example_02.pdf pdfTeX warning: pdflatex (file ./example_02.pdf): (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te xPDF inclusion: multiple pdfs with page group included in a single page >] ! I can't find file `example_out.aux'. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.18 \end{document} (Press Enter to retry, or Control-D to exit) Please type another input file name: ! Emergency stop. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.18 \end{document} ! ==> Fatal error occurred, no output PDF file produced! Transcript written on example_out.log. )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex))make[3]: *** [Makefile:32: 011/example_out.pdf] Error 1 ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex No file basics_parsetree.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiiThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex No file verilog_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] )) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file submod_dots.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] ! I can't find file `overview_rtlil.aux'. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.27 \end{document} (Press Enter to retry, or Control-D to exit) Please type another input file name: ! Emergency stop. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.27 \end{document} ! ==> Fatal error occurred, no output PDF file produced! Transcript written on overview_rtlil.log. entering extended mode (./select_prod.texmake[3]: *** [Makefile:35: overview_rtlil.pdf] Error 1 pdfTeX warning: pdflatex (file ./submod_00.pdf): LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsPDF inclusion: found PDF versi on <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./submod_01.pdf): Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styPDF inclusion: found PDF versi on <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./submod_02.pdf): (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))PDF inclusion: found PDF versi on <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./submod_03.pdf): (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))PDF inclusion: found PDF versi on <1.7>, but at most version <1.5> allowed [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def}] (./verilog_flow.aux) )))}]) (./basics_parsetree.aux) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty Output written on verilog_flow.pdf (1 page, 9528 bytes). Transcript written on verilog_flow.log. Output written on basics_parsetree.pdf (1 page, 10896 bytes). Transcript written on basics_parsetree.log. (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex}]) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x ! I can't find file `overview_flow.aux'. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.37 \end{document} (Press Enter to retry, or Control-D to exit) Please type another input file name: ! Emergency stop. \enddocument ...keatletter \@@input \jobname .aux \fi \UseOneTimeHook {enddo... l.37 \end{document} ! ==> Fatal error occurred, no output PDF file produced! Transcript written on overview_flow.log. ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.texmake[3]: *** [Makefile:35: overview_flow.pdf] Error 1 ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty} <./submod_00.pdf (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty> <./subm od_01.pdf pdfTeX warning: pdflatex (file ./submod_01.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page > <./submod_02.pdf pdfTeX warning: pdflatex (file ./submod_02.pdf): (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)PDF inclusion: multiple pdfs w ith page group included in a single page > <./submod_03.pdf pdfTeX warning: pdflatex (file ./submod_03.pdf): )PDF inclusion: multiple pdfs w ith page group included in a single page >] (./submod_dots.aux) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) Output written on submod_dots.pdf (1 page, 58429 bytes). Transcript written on submod_dots.log. (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file select_prod.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) pdfTeX warning: pdflatex (file ./sumprod_02.pdf): PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed [1 <./sumprod_02.pdf> <./sumprod_03.pdf pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./sumprod_04.pdf pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./sumprod_05.pdf pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./select_prod.aux) ) Output written on select_prod.pdf (1 page, 22495 bytes). Transcript written on select_prod.log. make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/images' make[2]: *** [Makefile:971: docs/gen_images] Error 2 make[2]: Leaving directory '/build/reproducible-path/yosys-0.33' dh_auto_build: error: make -j10 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf returned exit code 2 make[1]: *** [debian/rules:53: override_dh_auto_build-indep] Error 25 make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' make: *** [debian/rules:12: binary] Error 2 dpkg-buildpackage: error: debian/rules binary subprocess returned exit status 2 I: copying local configuration E: Failed autobuilding of package I: user script /srv/workspace/pbuilder/29600/tmp/hooks/C01_cleanup starting debug output: disk usage on i-capture-the-hostname at Wed May 15 15:38:58 UTC 2024 Filesystem Size Used Avail Use% Mounted on tmpfs 3.9G 0 3.9G 0% /dev/shm I: user script /srv/workspace/pbuilder/29600/tmp/hooks/C01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/29600 and its subdirectories