I: pbuilder: network access will be disabled during build I: Current time: Fri Jun 13 13:35:37 +14 2025 I: pbuilder-time-stamp: 1749771337 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [libverilog-perl_3.482-1.dsc] I: copying [./libverilog-perl_3.482.orig.tar.gz] I: copying [./libverilog-perl_3.482-1.debian.tar.xz] I: Extracting source gpgv: Signature made Sat Jan 27 01:46:57 2024 gpgv: using RSA key D1E1316E93A760A8104D85FABB3A68018649AA06 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./libverilog-perl_3.482-1.dsc: no acceptable signature found dpkg-source: info: extracting libverilog-perl in libverilog-perl-3.482 dpkg-source: info: unpacking libverilog-perl_3.482.orig.tar.gz dpkg-source: info: unpacking libverilog-perl_3.482-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying autopkgtest.patch dpkg-source: info: applying auto-gitignore I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/D01_modify_environment starting debug: Running on ionos5-amd64. I: Changing host+domainname to test build reproducibility I: Adding a custom variable just for the fun of it... I: Changing /bin/sh to bash '/bin/sh' -> '/bin/bash' lrwxrwxrwx 1 root root 9 Jun 12 23:35 /bin/sh -> /bin/bash I: Setting pbuilder2's login shell to /bin/bash I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/D01_modify_environment finished I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/D02_print_environment starting I: set BASH=/bin/sh BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath BASH_ALIASES=() BASH_ARGC=() BASH_ARGV=() BASH_CMDS=() BASH_LINENO=([0]="12" [1]="0") BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") BASH_VERSINFO=([0]="5" [1]="2" [2]="21" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") BASH_VERSION='5.2.21(1)-release' BUILDDIR=/build/reproducible-path BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' BUILDUSERNAME=pbuilder2 BUILD_ARCH=amd64 DEBIAN_FRONTEND=noninteractive DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=42 ' DIRSTACK=() DISTRIBUTION=trixie EUID=0 FUNCNAME=([0]="Echo" [1]="main") GROUPS=() HOME=/root HOSTNAME=i-capture-the-hostname HOSTTYPE=x86_64 HOST_ARCH=amd64 IFS=' ' INVOCATION_ID=9ab661b3d3e24c599b944a754827c50c LANG=C LANGUAGE=et_EE:et LC_ALL=C MACHTYPE=x86_64-pc-linux-gnu MAIL=/var/mail/root OPTERR=1 OPTIND=1 OSTYPE=linux-gnu PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path PBCURRENTCOMMANDLINEOPERATION=build PBUILDER_OPERATION=build PBUILDER_PKGDATADIR=/usr/share/pbuilder PBUILDER_PKGLIBDIR=/usr/lib/pbuilder PBUILDER_SYSCONFDIR=/etc PIPESTATUS=([0]="0") POSIXLY_CORRECT=y PPID=1807687 PS4='+ ' PWD=/ SHELL=/bin/bash SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix SHLVL=3 SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.pYiGOTD1/pbuilderrc_GEw8 --distribution trixie --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.pYiGOTD1/b2 --logfile b2/build.log libverilog-perl_3.482-1.dsc' SUDO_GID=110 SUDO_UID=105 SUDO_USER=jenkins TERM=unknown TZ=/usr/share/zoneinfo/Etc/GMT-14 UID=0 USER=root _='I: set' http_proxy=http://213.165.73.152:3128 I: uname -a Linux i-capture-the-hostname 6.6.13+bpo-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.6.13-1~bpo12+1 (2024-02-15) x86_64 GNU/Linux I: ls -l /bin lrwxrwxrwx 1 root root 7 Jun 10 17:46 /bin -> usr/bin I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: amd64 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: bison, debhelper-compat (= 13), flex, libbit-vector-perl, libtest-pod-perl, perl-xs-dev, perl:native dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19699 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on libbit-vector-perl; however: Package libbit-vector-perl is not installed. pbuilder-satisfydepends-dummy depends on libtest-pod-perl; however: Package libtest-pod-perl is not installed. pbuilder-satisfydepends-dummy depends on perl-xs-dev; however: Package perl-xs-dev is not installed. pbuilder-satisfydepends-dummy depends on perl:native. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} flex{a} gettext{a} gettext-base{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libbit-vector-perl{a} libcarp-clan-perl{a} libdebhelper-perl{a} libelf1t64{a} libfile-stripnondeterminism-perl{a} libicu72{a} libmagic-mgc{a} libmagic1t64{a} libperl-dev{a} libpipeline1{a} libsub-override-perl{a} libtest-pod-perl{a} libtool{a} libuchardet0{a} libxml2{a} m4{a} man-db{a} po-debconf{a} sensible-utils{a} The following packages are RECOMMENDED but will NOT be installed: curl libarchive-cpio-perl libfl-dev libltdl-dev libmail-sendmail-perl lynx wget 0 packages upgraded, 36 newly installed, 0 to remove and 0 not upgraded. Need to get 21.9 MB of archives. After unpacking 84.0 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian trixie/main amd64 m4 amd64 1.4.19-4 [287 kB] Get: 2 http://deb.debian.org/debian trixie/main amd64 flex amd64 2.6.4-8.2+b2 [426 kB] Get: 3 http://deb.debian.org/debian trixie/main amd64 sensible-utils all 0.0.22 [22.4 kB] Get: 4 http://deb.debian.org/debian trixie/main amd64 libmagic-mgc amd64 1:5.45-3 [314 kB] Get: 5 http://deb.debian.org/debian trixie/main amd64 libmagic1t64 amd64 1:5.45-3 [105 kB] Get: 6 http://deb.debian.org/debian trixie/main amd64 file amd64 1:5.45-3 [42.9 kB] Get: 7 http://deb.debian.org/debian trixie/main amd64 gettext-base amd64 0.21-14+b1 [161 kB] Get: 8 http://deb.debian.org/debian trixie/main amd64 libuchardet0 amd64 0.0.8-1+b1 [68.8 kB] Get: 9 http://deb.debian.org/debian trixie/main amd64 groff-base amd64 1.23.0-4 [1180 kB] Get: 10 http://deb.debian.org/debian trixie/main amd64 bsdextrautils amd64 2.40-8 [92.8 kB] Get: 11 http://deb.debian.org/debian trixie/main amd64 libpipeline1 amd64 1.5.7-2 [38.0 kB] Get: 12 http://deb.debian.org/debian trixie/main amd64 man-db amd64 2.12.1-1 [1411 kB] Get: 13 http://deb.debian.org/debian trixie/main amd64 autoconf all 2.71-3 [332 kB] Get: 14 http://deb.debian.org/debian trixie/main amd64 autotools-dev all 20220109.1 [51.6 kB] Get: 15 http://deb.debian.org/debian trixie/main amd64 automake all 1:1.16.5-1.3 [823 kB] Get: 16 http://deb.debian.org/debian trixie/main amd64 autopoint all 0.21-14 [496 kB] Get: 17 http://deb.debian.org/debian trixie/main amd64 bison amd64 2:3.8.2+dfsg-1+b1 [1175 kB] Get: 18 http://deb.debian.org/debian trixie/main amd64 libdebhelper-perl all 13.15.3 [88.0 kB] Get: 19 http://deb.debian.org/debian trixie/main amd64 libtool all 2.4.7-7 [517 kB] Get: 20 http://deb.debian.org/debian trixie/main amd64 dh-autoreconf all 20 [17.1 kB] Get: 21 http://deb.debian.org/debian trixie/main amd64 libarchive-zip-perl all 1.68-1 [104 kB] Get: 22 http://deb.debian.org/debian trixie/main amd64 libsub-override-perl all 0.10-1 [10.6 kB] Get: 23 http://deb.debian.org/debian trixie/main amd64 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 24 http://deb.debian.org/debian trixie/main amd64 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 25 http://deb.debian.org/debian trixie/main amd64 libelf1t64 amd64 0.191-1+b1 [189 kB] Get: 26 http://deb.debian.org/debian trixie/main amd64 dwz amd64 0.15-1+b1 [110 kB] Get: 27 http://deb.debian.org/debian trixie/main amd64 libicu72 amd64 72.1-4+b1 [9395 kB] Get: 28 http://deb.debian.org/debian trixie/main amd64 libxml2 amd64 2.9.14+dfsg-1.3+b3 [692 kB] Get: 29 http://deb.debian.org/debian trixie/main amd64 gettext amd64 0.21-14+b1 [1301 kB] Get: 30 http://deb.debian.org/debian trixie/main amd64 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 31 http://deb.debian.org/debian trixie/main amd64 po-debconf all 1.0.21+nmu1 [248 kB] Get: 32 http://deb.debian.org/debian trixie/main amd64 debhelper all 13.15.3 [901 kB] Get: 33 http://deb.debian.org/debian trixie/main amd64 libcarp-clan-perl all 6.08-2 [14.1 kB] Get: 34 http://deb.debian.org/debian trixie/main amd64 libbit-vector-perl amd64 7.4-3+b1 [141 kB] Get: 35 http://deb.debian.org/debian trixie/main amd64 libperl-dev amd64 5.38.2-4 [1087 kB] Get: 36 http://deb.debian.org/debian trixie/main amd64 libtest-pod-perl all 1.52-3 [12.6 kB] Fetched 21.9 MB in 0s (121 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19699 files and directories currently installed.) Preparing to unpack .../00-m4_1.4.19-4_amd64.deb ... Unpacking m4 (1.4.19-4) ... Selecting previously unselected package flex. Preparing to unpack .../01-flex_2.6.4-8.2+b2_amd64.deb ... Unpacking flex (2.6.4-8.2+b2) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../02-sensible-utils_0.0.22_all.deb ... Unpacking sensible-utils (0.0.22) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../03-libmagic-mgc_1%3a5.45-3_amd64.deb ... Unpacking libmagic-mgc (1:5.45-3) ... Selecting previously unselected package libmagic1t64:amd64. Preparing to unpack .../04-libmagic1t64_1%3a5.45-3_amd64.deb ... Unpacking libmagic1t64:amd64 (1:5.45-3) ... Selecting previously unselected package file. Preparing to unpack .../05-file_1%3a5.45-3_amd64.deb ... Unpacking file (1:5.45-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../06-gettext-base_0.21-14+b1_amd64.deb ... Unpacking gettext-base (0.21-14+b1) ... Selecting previously unselected package libuchardet0:amd64. Preparing to unpack .../07-libuchardet0_0.0.8-1+b1_amd64.deb ... Unpacking libuchardet0:amd64 (0.0.8-1+b1) ... Selecting previously unselected package groff-base. Preparing to unpack .../08-groff-base_1.23.0-4_amd64.deb ... Unpacking groff-base (1.23.0-4) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../09-bsdextrautils_2.40-8_amd64.deb ... Unpacking bsdextrautils (2.40-8) ... Selecting previously unselected package libpipeline1:amd64. Preparing to unpack .../10-libpipeline1_1.5.7-2_amd64.deb ... Unpacking libpipeline1:amd64 (1.5.7-2) ... Selecting previously unselected package man-db. Preparing to unpack .../11-man-db_2.12.1-1_amd64.deb ... Unpacking man-db (2.12.1-1) ... Selecting previously unselected package autoconf. Preparing to unpack .../12-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../13-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../14-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../15-autopoint_0.21-14_all.deb ... Unpacking autopoint (0.21-14) ... Selecting previously unselected package bison. Preparing to unpack .../16-bison_2%3a3.8.2+dfsg-1+b1_amd64.deb ... Unpacking bison (2:3.8.2+dfsg-1+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../17-libdebhelper-perl_13.15.3_all.deb ... Unpacking libdebhelper-perl (13.15.3) ... Selecting previously unselected package libtool. Preparing to unpack .../18-libtool_2.4.7-7_all.deb ... Unpacking libtool (2.4.7-7) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../19-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../20-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../21-libsub-override-perl_0.10-1_all.deb ... Unpacking libsub-override-perl (0.10-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../22-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../23-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1t64:amd64. Preparing to unpack .../24-libelf1t64_0.191-1+b1_amd64.deb ... Unpacking libelf1t64:amd64 (0.191-1+b1) ... Selecting previously unselected package dwz. Preparing to unpack .../25-dwz_0.15-1+b1_amd64.deb ... Unpacking dwz (0.15-1+b1) ... Selecting previously unselected package libicu72:amd64. Preparing to unpack .../26-libicu72_72.1-4+b1_amd64.deb ... Unpacking libicu72:amd64 (72.1-4+b1) ... Selecting previously unselected package libxml2:amd64. Preparing to unpack .../27-libxml2_2.9.14+dfsg-1.3+b3_amd64.deb ... Unpacking libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Selecting previously unselected package gettext. Preparing to unpack .../28-gettext_0.21-14+b1_amd64.deb ... Unpacking gettext (0.21-14+b1) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../29-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../30-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../31-debhelper_13.15.3_all.deb ... Unpacking debhelper (13.15.3) ... Selecting previously unselected package libcarp-clan-perl. Preparing to unpack .../32-libcarp-clan-perl_6.08-2_all.deb ... Unpacking libcarp-clan-perl (6.08-2) ... Selecting previously unselected package libbit-vector-perl:amd64. Preparing to unpack .../33-libbit-vector-perl_7.4-3+b1_amd64.deb ... Unpacking libbit-vector-perl:amd64 (7.4-3+b1) ... Selecting previously unselected package libperl-dev:amd64. Preparing to unpack .../34-libperl-dev_5.38.2-4_amd64.deb ... Unpacking libperl-dev:amd64 (5.38.2-4) ... Selecting previously unselected package libtest-pod-perl. Preparing to unpack .../35-libtest-pod-perl_1.52-3_all.deb ... Unpacking libtest-pod-perl (1.52-3) ... Setting up libpipeline1:amd64 (1.5.7-2) ... Setting up libicu72:amd64 (72.1-4+b1) ... Setting up bsdextrautils (2.40-8) ... Setting up libmagic-mgc (1:5.45-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libdebhelper-perl (13.15.3) ... Setting up libmagic1t64:amd64 (1:5.45-3) ... Setting up libtest-pod-perl (1.52-3) ... Setting up gettext-base (0.21-14+b1) ... Setting up m4 (1.4.19-4) ... Setting up libperl-dev:amd64 (5.38.2-4) ... Setting up file (1:5.45-3) ... Setting up libelf1t64:amd64 (0.191-1+b1) ... Setting up autotools-dev (20220109.1) ... Setting up autopoint (0.21-14) ... Setting up autoconf (2.71-3) ... Setting up dwz (0.15-1+b1) ... Setting up sensible-utils (0.0.22) ... Setting up libuchardet0:amd64 (0.0.8-1+b1) ... Setting up bison (2:3.8.2+dfsg-1+b1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up libsub-override-perl (0.10-1) ... Setting up libcarp-clan-perl (6.08-2) ... Setting up libxml2:amd64 (2.9.14+dfsg-1.3+b3) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up flex (2.6.4-8.2+b2) ... Setting up gettext (0.21-14+b1) ... Setting up libtool (2.4.7-7) ... Setting up libbit-vector-perl:amd64 (7.4-3+b1) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up groff-base (1.23.0-4) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up man-db (2.12.1-1) ... Not building database; man-db/auto-update is not 'true'. Setting up debhelper (13.15.3) ... Processing triggers for libc-bin (2.38-7) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/A99_set_merged_usr starting Not re-configuring usrmerge for trixie I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/A99_set_merged_usr finished hostname: Name or service not known I: Running cd /build/reproducible-path/libverilog-perl-3.482/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../libverilog-perl_3.482-1_source.changes dpkg-buildpackage: info: source package libverilog-perl dpkg-buildpackage: info: source version 3.482-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by gregor herrmann dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 debian/rules clean dh clean dh_clean debian/rules binary dh binary dh_update_autotools_config dh_autoreconf dh_auto_configure /usr/bin/perl Makefile.PL INSTALLDIRS=vendor "OPTIMIZE=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2" "LD=x86_64-linux-gnu-gcc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now" WARNING: Older versions of ExtUtils::MakeMaker may errantly install README.pod as part of this distribution. It is recommended to avoid using this path in CPAN modules. Checking if your kit is complete... Warning: the following files are missing in your kit: .gitignore Parser/.gitignore Preproc/.gitignore Please inform the author. Writing MYMETA.yml and MYMETA.json Writing MYMETA.yml and MYMETA.json Generating a Unix-style Makefile Writing Makefile for Verilog::Language Writing MYMETA.yml and MYMETA.json dh_auto_build make -j42 make[1]: Entering directory '/build/reproducible-path/libverilog-perl-3.482' make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Parser' "/usr/bin/perl" "/usr/share/perl/5.38.2/ExtUtils/xsubpp" -C++ -typemap '/usr/share/perl/5.38/ExtUtils/typemap' -typemap '/build/reproducible-path/libverilog-perl-3.482/Parser/typemap' Parser.xs > Parser.xsc rm -rf VParseBison.c VParseBison.cpp "/usr/bin/perl" callbackgen bison --version | head -1 Running Mkbootstrap for Parser () flex --version bison (GNU Bison) 3.8.2 flex 2.6.4 "/usr/bin/perl" ../Preproc/toolhash --verbose --in VParseLex.l --out VParseLex_pretmp.cpp --cmd flex -d -oVParseLex_pretmp.cpp VParseLex.l Note: toolhash ignores VParseBison.output; remove gen/ if you want to debug the grammar Note: If the next command fails, you probably need to install Bison 1.875 or newer "/usr/bin/perl" ../Preproc/toolhash --verbose --name bisonpre --vercmd bison --skip-cmd 1 \ --in VParseBison.y bisonpre \ --out VParseBison.c VParseBison.h \ --cmd "/usr/bin/perl" bisonpre --yacc bison --debug --verbose --d -p VParseBison -k VParseBison.y -o VParseBison.c chmod 644 "Parser.bs" "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644 cp Getopt.pm blib/lib/Verilog/Getopt.pm cp Netlist/Pin.pm blib/lib/Verilog/Netlist/Pin.pm cp Netlist/Cell.pm blib/lib/Verilog/Netlist/Cell.pm cp EditFiles.pm blib/lib/Verilog/EditFiles.pm cp Netlist/Subclass.pm blib/lib/Verilog/Netlist/Subclass.pm cp Netlist/Logger.pm blib/lib/Verilog/Netlist/Logger.pm cp Netlist/ContAssign.pm blib/lib/Verilog/Netlist/ContAssign.pm cp Netlist/Port.pm blib/lib/Verilog/Netlist/Port.pm cp Netlist/Interface.pm blib/lib/Verilog/Netlist/Interface.pm cp Netlist/PinSelection.pm blib/lib/Verilog/Netlist/PinSelection.pm cp Netlist/ModPort.pm blib/lib/Verilog/Netlist/ModPort.pm cp Std.pm blib/lib/Verilog/Std.pm cp Netlist/Module.pm blib/lib/Verilog/Netlist/Module.pm cp Netlist.pm blib/lib/Verilog/Netlist.pm cp Netlist/File.pm blib/lib/Verilog/Netlist/File.pm cp Netlist/Defparam.pm blib/lib/Verilog/Netlist/Defparam.pm cp Netlist/Net.pm blib/lib/Verilog/Netlist/Net.pm cp Language.pm blib/lib/Verilog/Language.pm toolhash: Cache hit running flex cp SigParser.pm ../blib/lib/Verilog/SigParser.pm cp Parser.pm ../blib/lib/Verilog/Parser.pm "/usr/bin/perl" ../Preproc/flexfix VParseLex < VParseLex_pretmp.cpp > VParseLex.cpp edit VParseBison.y VParseBison_pretmp.y bison -t -d -k -v --report=itemset --report=lookahead -p VParseBison -b VParseBison_pretmp -o VParseBison_pretmp.c VParseBison_pretmp.y edit VParseBison_pretmp.output VParseBison.output edit VParseBison_pretmp.c VParseBison.c edit VParseBison_pretmp.h VParseBison.h toolhash: Cache hit running bisonpre mv VParseBison.c VParseBison.cpp callbackgen edited Parser_callbackgen.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" ../Preproc/VFileLine.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VParseLex.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VParse.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VParseBison.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VSymTable.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VAst.cpp mv Parser.xsc Parser.c "/usr/bin/perl" ../Preproc/xsubppfix < Parser.c > Parser_cleaned.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" Parser_cleaned.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ VParseBison.y: In function 'void parse_net_constants(VFileLine*, VParseHashElem (*)[3])': VParseBison.y:204:12: warning: ignoring return value of 'std::_Deque_iterator<_Tp, _Ref, _Ptr>::reference std::_Deque_iterator<_Tp, _Ref, _Ptr>::operator*() const [with _Tp = VParseNet; _Ref = VParseNet&; _Ptr = VParseNet*; reference = VParseNet&]', declared with attribute 'nodiscard' [-Wunused-result] 204 | *it++; | ^~ In file included from /usr/include/c++/13/deque:66, from /usr/include/c++/13/stack:62, from VParseBison.y:28: /usr/include/c++/13/bits/stl_deque.h:181:7: note: declared here 181 | operator*() const _GLIBCXX_NOEXCEPT | ^~~~~~~~ rm -f ../blib/arch/auto/Verilog/Parser/Parser.so x86_64-linux-gnu-gcc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -shared -L/usr/local/lib -fstack-protector-strong VFileLine.o VParseLex.o VParse.o VParseBison.o VSymTable.o VAst.o -o ../blib/arch/auto/Verilog/Parser/Parser.so Parser_cleaned.o \ -lstdc++ \ chmod 755 ../blib/arch/auto/Verilog/Parser/Parser.so Manifying 2 pod documents make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Parser' make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' "/usr/bin/perl" "/usr/share/perl/5.38.2/ExtUtils/xsubpp" -C++ -typemap '/usr/share/perl/5.38/ExtUtils/typemap' -typemap '/build/reproducible-path/libverilog-perl-3.482/Preproc/typemap' Preproc.xs > Preproc.xsc g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VFileLine.cpp Running Mkbootstrap for Preproc () g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VPreProc.cpp flex --version flex 2.6.4 "/usr/bin/perl" ../Preproc/toolhash --verbose --in VPreLex.l --out VPreLex_pretmp.cpp --cmd flex -oVPreLex_pretmp.cpp VPreLex.l cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ chmod 644 "Preproc.bs" toolhash: Cache hit running flex "/usr/bin/perl" ../Preproc/flexfix VPreLex < VPreLex_pretmp.cpp > VPreLex.cpp "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644 cp Preproc.pm ../blib/lib/Verilog/Preproc.pm g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" VPreLex.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mv Preproc.xsc Preproc.c "/usr/bin/perl" ../Preproc/xsubppfix < Preproc.c > Preproc_cleaned.cpp g++ -c -D_REENTRANT -D_GNU_SOURCE -DDEBIAN -fwrapv -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I../Preproc -O -g -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wdate-time -D_FORTIFY_SOURCE=2 -DVERSION=\"3.482\" -DXS_VERSION=\"3.482\" -fPIC "-I/usr/lib/x86_64-linux-gnu/perl/5.38/CORE" Preproc_cleaned.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ rm -f ../blib/arch/auto/Verilog/Preproc/Preproc.so x86_64-linux-gnu-gcc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/libverilog-perl-3.482=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -fcf-protection -Wl,-z,relro -Wl,-z,now -shared -L/usr/local/lib -fstack-protector-strong VFileLine.o VPreProc.o VPreLex.o -o ../blib/arch/auto/Verilog/Preproc/Preproc.so Preproc_cleaned.o \ -lstdc++ \ chmod 755 ../blib/arch/auto/Verilog/Preproc/Preproc.so Manifying 1 pod document make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' cp vhier blib/script/vhier cp vpassert blib/script/vpassert cp vppreproc blib/script/vppreproc cp vrename blib/script/vrename "/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vhier "/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vpassert "/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vppreproc "/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vrename cp vsplitmodule blib/script/vsplitmodule "/usr/bin/perl" -MExtUtils::MY -e 'MY->fixin(shift)' -- blib/script/vsplitmodule Manifying 4 pod documents Manifying 18 pod documents make[1]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482' dh_auto_test make -j42 test TEST_VERBOSE=1 make[1]: Entering directory '/build/reproducible-path/libverilog-perl-3.482' make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Parser' "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644 Manifying 2 pod documents make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Parser' make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644 Manifying 1 pod document make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Parser' "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644 No tests defined for Verilog::Parser extension. make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Parser' make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644 No tests defined for Verilog::Preproc extension. make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' PERL_DL_NONLAZY=1 "/usr/bin/perl" "-MExtUtils::Command::MM" "-MTest::Harness" "-e" "undef *Test::Harness::Switches; test_harness(1, 'blib/lib', 'blib/arch')" t/*.t t/00_pod.t ............ 1..26 ok 1 - POD test for blib/lib/Verilog/Language.pm ok 2 - POD test for blib/lib/Verilog/Preproc.pm ok 3 - POD test for blib/lib/Verilog/Getopt.pm ok 4 - POD test for blib/lib/Verilog/Std.pm ok 5 - POD test for blib/lib/Verilog/Netlist.pm ok 6 - POD test for blib/lib/Verilog/EditFiles.pm ok 7 - POD test for blib/lib/Verilog/Parser.pm ok 8 - POD test for blib/lib/Verilog/SigParser.pm ok 9 - POD test for blib/lib/Verilog/Netlist/ContAssign.pm ok 10 - POD test for blib/lib/Verilog/Netlist/Cell.pm ok 11 - POD test for blib/lib/Verilog/Netlist/ModPort.pm ok 12 - POD test for blib/lib/Verilog/Netlist/Subclass.pm ok 13 - POD test for blib/lib/Verilog/Netlist/Port.pm ok 14 - POD test for blib/lib/Verilog/Netlist/Defparam.pm ok 15 - POD test for blib/lib/Verilog/Netlist/Pin.pm ok 16 - POD test for blib/lib/Verilog/Netlist/Module.pm ok 17 - POD test for blib/lib/Verilog/Netlist/Interface.pm ok 18 - POD test for blib/lib/Verilog/Netlist/Logger.pm ok 19 - POD test for blib/lib/Verilog/Netlist/File.pm ok 20 - POD test for blib/lib/Verilog/Netlist/Net.pm ok 21 - POD test for blib/lib/Verilog/Netlist/PinSelection.pm ok 22 - POD test for blib/script/vsplitmodule (no pod) ok 23 - POD test for blib/script/vpassert ok 24 - POD test for blib/script/vppreproc ok 25 - POD test for blib/script/vhier ok 26 - POD test for blib/script/vrename ok t/01_manifest.t ....... 1..1 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:12 2025 # Current time GMT: Thu Jun 12 23:37:12 2025 # Using Test.pm version 1.31 ok 1 # skip author only test (harmless) ok t/02_help.t ........... 1..15 Doc test of: blib/script/vhier ok 1 - exe exists: blib/script/vhier ok 2 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vhier --help 2>&1 ok 3 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vhier --version 2>&1 Doc test of: blib/script/vpassert ok 4 - exe exists: blib/script/vpassert ok 5 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vpassert --help 2>&1 ok 6 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vpassert --version 2>&1 Doc test of: blib/script/vppreproc ok 7 - exe exists: blib/script/vppreproc ok 8 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vppreproc --help 2>&1 ok 9 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vppreproc --version 2>&1 Doc test of: blib/script/vrename ok 10 - exe exists: blib/script/vrename ok 11 - help result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vrename --help 2>&1 ok 12 - version result for: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib blib/script/vrename --version 2>&1 Doc test of: blib/script/vsplitmodule ok 13 - exe exists: blib/script/vsplitmodule ok 14 # skip vsplitmodule is only example (harmless) ok 15 # skip vsplitmodule is only example (harmless) ok t/03_spaces.t ......... 1..195 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:13 2025 # Current time GMT: Thu Jun 12 23:37:13 2025 # Using Test.pm version 1.31 ok 1 ok 2 # skip author only test (harmless) ok 3 # skip author only test (harmless) ok 4 # skip author only test (harmless) ok 5 # skip author only test (harmless) ok 6 # skip author only test (harmless) ok 7 # skip author only test (harmless) ok 8 # skip author only test (harmless) ok 9 # skip author only test (harmless) ok 10 # skip author only test (harmless) ok 11 # skip author only test (harmless) ok 12 # skip author only test (harmless) ok 13 # skip author only test (harmless) ok 14 # skip author only test (harmless) ok 15 # skip author only test (harmless) ok 16 # skip author only test (harmless) ok 17 # skip author only test (harmless) ok 18 # skip author only test (harmless) ok 19 # skip author only test (harmless) ok 20 # skip author only test (harmless) ok 21 # skip author only test (harmless) ok 22 # skip author only test (harmless) ok 23 # skip author only test (harmless) ok 24 # skip author only test (harmless) ok 25 # skip author only test (harmless) ok 26 # skip author only test (harmless) ok 27 # skip author only test (harmless) ok 28 # skip author only test (harmless) ok 29 # skip author only test (harmless) ok 30 # skip author only test (harmless) ok 31 # skip author only test (harmless) ok 32 # skip author only test (harmless) ok 33 # skip author only test (harmless) ok 34 # skip author only test (harmless) ok 35 # skip author only test (harmless) ok 36 # skip author only test (harmless) ok 37 # skip author only test (harmless) ok 38 # skip author only test (harmless) ok 39 # skip author only test (harmless) ok 40 # skip author only test (harmless) ok 41 # skip author only test (harmless) ok 42 # skip author only test (harmless) ok 43 # skip author only test (harmless) ok 44 # skip author only test (harmless) ok 45 # skip author only test (harmless) ok 46 # skip author only test (harmless) ok 47 # skip author only test (harmless) ok 48 # skip author only test (harmless) ok 49 # skip author only test (harmless) ok 50 # skip author only test (harmless) ok 51 # skip author only test (harmless) ok 52 # skip author only test (harmless) ok 53 # skip author only test (harmless) ok 54 # skip author only test (harmless) ok 55 # skip author only test (harmless) ok 56 # skip author only test (harmless) ok 57 # skip author only test (harmless) ok 58 # skip author only test (harmless) ok 59 # skip author only test (harmless) ok 60 # skip author only test (harmless) ok 61 # skip author only test (harmless) ok 62 # skip author only test (harmless) ok 63 # skip author only test (harmless) ok 64 # skip author only test (harmless) ok 65 # skip author only test (harmless) ok 66 # skip author only test (harmless) ok 67 # skip author only test (harmless) ok 68 # skip author only test (harmless) ok 69 # skip author only test (harmless) ok 70 # skip author only test (harmless) ok 71 # skip author only test (harmless) ok 72 # skip author only test (harmless) ok 73 # skip author only test (harmless) ok 74 # skip author only test (harmless) ok 75 # skip author only test (harmless) ok 76 # skip author only test (harmless) ok 77 # skip author only test (harmless) ok 78 # skip author only test (harmless) ok 79 # skip author only test (harmless) ok 80 # skip author only test (harmless) ok 81 # skip author only test (harmless) ok 82 # skip author only test (harmless) ok 83 # skip author only test (harmless) ok 84 # skip author only test (harmless) ok 85 # skip author only test (harmless) ok 86 # skip author only test (harmless) ok 87 # skip author only test (harmless) ok 88 # skip author only test (harmless) ok 89 # skip author only test (harmless) ok 90 # skip author only test (harmless) ok 91 # skip author only test (harmless) ok 92 # skip author only test (harmless) ok 93 # skip author only test (harmless) ok 94 # skip author only test (harmless) ok 95 # skip author only test (harmless) ok 96 # skip author only test (harmless) ok 97 # skip author only test (harmless) ok 98 # skip author only test (harmless) ok 99 # skip author only test (harmless) ok 100 # skip author only test (harmless) ok 101 # skip author only test (harmless) ok 102 # skip author only test (harmless) ok 103 # skip author only test (harmless) ok 104 # skip author only test (harmless) ok 105 # skip author only test (harmless) ok 106 # skip author only test (harmless) ok 107 # skip author only test (harmless) ok 108 # skip author only test (harmless) ok 109 # skip author only test (harmless) ok 110 # skip author only test (harmless) ok 111 # skip author only test (harmless) ok 112 # skip author only test (harmless) ok 113 # skip author only test (harmless) ok 114 # skip author only test (harmless) ok 115 # skip author only test (harmless) ok 116 # skip author only test (harmless) ok 117 # skip author only test (harmless) ok 118 # skip author only test (harmless) ok 119 # skip author only test (harmless) ok 120 # skip author only test (harmless) ok 121 # skip author only test (harmless) ok 122 # skip author only test (harmless) ok 123 # skip author only test (harmless) ok 124 # skip author only test (harmless) ok 125 # skip author only test (harmless) ok 126 # skip author only test (harmless) ok 127 # skip author only test (harmless) ok 128 # skip author only test (harmless) ok 129 # skip author only test (harmless) ok 130 # skip author only test (harmless) ok 131 # skip author only test (harmless) ok 132 # skip author only test (harmless) ok 133 # skip author only test (harmless) ok 134 # skip author only test (harmless) ok 135 # skip author only test (harmless) ok 136 # skip author only test (harmless) ok 137 # skip author only test (harmless) ok 138 # skip author only test (harmless) ok 139 # skip author only test (harmless) ok 140 # skip author only test (harmless) ok 141 # skip author only test (harmless) ok 142 # skip author only test (harmless) ok 143 # skip author only test (harmless) ok 144 # skip author only test (harmless) ok 145 # skip author only test (harmless) ok 146 # skip author only test (harmless) ok 147 # skip author only test (harmless) ok 148 # skip author only test (harmless) ok 149 # skip author only test (harmless) ok 150 # skip author only test (harmless) ok 151 # skip author only test (harmless) ok 152 # skip author only test (harmless) ok 153 # skip author only test (harmless) ok 154 # skip author only test (harmless) ok 155 # skip author only test (harmless) ok 156 # skip author only test (harmless) ok 157 # skip author only test (harmless) ok 158 # skip author only test (harmless) ok 159 # skip author only test (harmless) ok 160 # skip author only test (harmless) ok 161 # skip author only test (harmless) ok 162 # skip author only test (harmless) ok 163 # skip author only test (harmless) ok 164 # skip author only test (harmless) ok 165 # skip author only test (harmless) ok 166 # skip author only test (harmless) ok 167 # skip author only test (harmless) ok 168 # skip author only test (harmless) ok 169 # skip author only test (harmless) ok 170 # skip author only test (harmless) ok 171 # skip author only test (harmless) ok 172 # skip author only test (harmless) ok 173 # skip author only test (harmless) ok 174 # skip author only test (harmless) ok 175 # skip author only test (harmless) ok 176 # skip author only test (harmless) ok 177 # skip author only test (harmless) ok 178 # skip author only test (harmless) ok 179 # skip author only test (harmless) ok 180 # skip author only test (harmless) ok 181 # skip author only test (harmless) ok 182 # skip author only test (harmless) ok 183 # skip author only test (harmless) ok 184 # skip author only test (harmless) ok 185 # skip author only test (harmless) ok 186 # skip author only test (harmless) ok 187 # skip author only test (harmless) ok 188 # skip author only test (harmless) ok 189 # skip author only test (harmless) ok 190 # skip author only test (harmless) ok 191 # skip author only test (harmless) ok 192 # skip author only test (harmless) ok 193 # skip author only test (harmless) ok 194 # skip author only test (harmless) ok 195 # skip author only test (harmless) ok t/04_critic.t ......... 1..1 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:13 2025 # Current time GMT: Thu Jun 12 23:37:13 2025 # Using Test.pm version 1.31 ok 1 # skip author only test (harmless) ok t/05_yaml.t ........... 1..1 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:13 2025 # Current time GMT: Thu Jun 12 23:37:13 2025 # Using Test.pm version 1.31 ok 1 # skip author only test (harmless) ok t/10_keywords.t ....... 1..27 ok 1 ok 2 ok 3 ok 4 ok 5 ok 6 ok 7 ok 8 ok 9 ok 10 ok 11 ok 12 ok 13 ok 14 ok 15 ok 16 ok 17 ok 18 ok 19 ok 20 ok 21 - language_maximum ok 22 ok 23 ok 24 ok 25 ok 26 ok 27 ok t/12_splitbus.t ....... 1..5 ok 1 - use ok 2 ok 3 ok 4 ok 5 ok t/14_numbers.t ........ 1..32 ok 1 - use ok 2 ok 3 ok 4 ok 5 ok 6 ok 7 ok 8 ok 9 ok 10 ok 11 ok 12 Bit::Vector 5823 -> got 32 000016bf =? exp 32 exp 000016bf ok 13 - number of bits ok 14 - value 80'h47cb_40d7_b50f_0147_1a85 -> got 80 47cb40d7b50f01471a85 =? exp 80 exp 47cb40d7b50f01471a85 ok 15 - number of bits ok 16 - value 83'o227525534413441101057616251 -> got 83 097aad721721208bf1ca9 =? exp 83 exp 097aad721721208bf1ca9 ok 17 - number of bits ok 18 - value 70'b1011010111111001010111111111111001110000011000101110010100110101101101 -> got 70 2d7e57ff9c18b94d6d =? exp 70 exp 2d7e57ff9c18b94d6d ok 19 - number of bits ok 20 - value 90'd46548__4046747316__6145438700 -> got 90 003d9b368496d10ab0043ec =? exp 90 exp 003d9b368496d10ab0043ec ok 21 - number of bits ok 22 - value Math::BigInt ok 23 # skip Math::BigInt not installed (harmless) ok 24 # skip Math::BigInt not installed (harmless) ok 25 # skip Math::BigInt not installed (harmless) ok 26 # skip Math::BigInt not installed (harmless) ok 27 # skip Math::BigInt not installed (harmless) ok 28 # skip Math::BigInt not installed (harmless) ok 29 # skip Math::BigInt not installed (harmless) ok 30 # skip Math::BigInt not installed (harmless) ok 31 # skip Math::BigInt not installed (harmless) ok 32 # skip Math::BigInt not installed (harmless) ok t/16_std.t ............ 1..3 ok 1 - use ok 2 ok 3 ok t/20_getopt.t ......... 1..15 ok 1 - use ok 2 - new ok 3 parameter(+libext+t) libext t parameter(+incdir+t) incdir t parameter(+define+foo=bar) Define foo = bar parameter(+define+foo2) Define foo2 = parameter(+define+foo3=3+foo4) Define foo3 = 3 Define foo4 = parameter(-v) parameter(libdir) library libdir parameter(-y) parameter(moddir) module_dir moddir parameter(-Dbaz=bar) Define baz = bar parameter(-Iincdir2) incdir incdir2 parameter(-f) parameter($DOT/t/20_getopt.opt) *parameter_file ./t/20_getopt.opt parameter(-Dread_opt_file=1) Define read_opt_file = 1 parameter(-y) parameter(y_library_path) module_dir y_library_path parameter(-F) parameter($DOT/t/20_getopt.opt) *parameter_file ./t/20_getopt.opt parameter(-Dread_opt_file=1) Define read_opt_file = 1 parameter(-y) parameter(y_library_path) module_dir t/y_library_path parameter(passthru) passthru ok 4 ok 5 depend_files t/20_getopt.t fp t/20_getopt.t ok 6 OUT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir ok 7 parameter(+define+baz=bar) Define baz = bar parameter(+define+foo=bar) Define foo = bar parameter(+define+foo2) Define foo2 = parameter(+define+foo3=3) Define foo3 = 3 parameter(+define+foo4) Define foo4 = parameter(+define+read_opt_file=1) Define read_opt_file = 1 parameter(+libext+.v+t) libext .v libext t parameter(+incdir+.) incdir . parameter(+incdir+t) incdir t parameter(+incdir+incdir2) incdir incdir2 parameter(-y) parameter(.) module_dir . parameter(-y) parameter(moddir) module_dir moddir parameter(-y) parameter(y_library_path) module_dir y_library_path parameter(-y) parameter(t/y_library_path) module_dir t/y_library_path parameter(-v) parameter(libdir) library libdir LEFT: LEFT: +define+baz=bar +define+foo=bar +define+foo2 +define+foo3=3 +define+foo4 +define+read_opt_file=1 +libext+.v+t +incdir+. +incdir+t +incdir+incdir2 -y . -y moddir -y y_library_path -y t/y_library_path -v libdir ok 8 parameter(+libext+t) parameter(+incdir+t) parameter(+define+foo=bar) parameter(+define+foo2) parameter(+define+foo3=3+foo4) parameter(-v) parameter(libdir) parameter(-y) parameter(moddir) parameter(-Dbaz=bar) Define baz = bar parameter(-Iincdir2) incdir incdir2 parameter(-f) parameter($DOT/t/20_getopt.opt) *parameter_file ./t/20_getopt.opt parameter(-Dread_opt_file=1) Define read_opt_file = 1 parameter(-y) parameter(y_library_path) parameter(-F) parameter($DOT/t/20_getopt.opt) *parameter_file ./t/20_getopt.opt parameter(-Dread_opt_file=1) Define read_opt_file = 1 parameter(-y) parameter(y_library_path) parameter(passthru) LEFT: +libext+t +incdir+t +define+foo=bar +define+foo2 +define+foo3=3+foo4 -v libdir -y moddir -y y_library_path -y y_library_path passthru ok 9 parameter(+libext+t) libext t parameter(+incdir+t) incdir t parameter(+define+foo=bar) Define foo = bar parameter(+define+foo2) Define foo2 = parameter(+define+foo3=3+foo4) Define foo3 = 3 Define foo4 = parameter(-v) parameter(libdir) library libdir parameter(-y) parameter(moddir) module_dir moddir parameter(-Dbaz=bar) parameter(-Iincdir2) parameter(-f) parameter($DOT/t/20_getopt.opt) *parameter_file ./t/20_getopt.opt parameter(-Dread_opt_file=1) parameter(-y) parameter(y_library_path) module_dir y_library_path parameter(-F) parameter($DOT/t/20_getopt.opt) *parameter_file ./t/20_getopt.opt parameter(-Dread_opt_file=1) parameter(-y) parameter(y_library_path) module_dir t/y_library_path parameter(passthru) LEFT: -Dbaz=bar -Iincdir2 -Dread_opt_file=1 -Dread_opt_file=1 passthru ok 10 parameter(+define+foo=bar) Define foo = bar parameter(+define+foo=baz) Define foo = baz ok 11 incdir ARRAY(0x556a2c904730) module_dir ARRAY(0x556a2c904808) ok 12 ok 13 ok 14 ok 15 ok t/30_preproc.t ........ 1..19 ok 1 - use Verilog::Getopt ok 2 - use Verilog::Preproc ok 3 - new ok 4 - parsed ok 5 - diff ok 6 - new_on ok 7 - parsed_on ok 8 - diff_on ok 9 - new_syn ok 10 - parsed_syn ok 11 - diff_syn ok 12 - new_nows ok 13 - parsed_nows ok 14 - diff_nows ok 15 - new_sub ok 16 - parsed_sub ok 17 - diff_sub ok 18 ok 19 - getall does same callbacks ok t/32_noinc.t .......... 1..7 ok 1 - use ok 2 - new ok 3 - open ok 4 ok 5 - new ok 6 - open ok 7 ok t/33_gzip.t ........... 1..4 ok 1 - use ok 2 - gzip test creation ok 3 - open ok 4 - decompress found text ok t/34_parser.t ......... 1..7 ok 1 - use ok 2 - new ok 3 - selftest ok 4 ok 5 ok 6 - diff ok 7 - coverage ok t/35_sigparser.t ...... 1..6 ok 1 - use ok 2 - read ok 3 - diff ok 4 - read-pinselects ok 5 - diff ok 6 - coverage ok t/36_sigmany.t ........ 1..3 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:15 2025 # Current time GMT: Thu Jun 12 23:37:15 2025 # Using Test.pm version 1.31 ok 1 ok 2 # skip VERILOG_TEST_FILES not set (harmless) ====================================================================== read verilog/example.v ====================================================================== read verilog/parser_bugs.v ====================================================================== read verilog/parser_sv.v ====================================================================== read verilog/parser_sv09.v ====================================================================== read verilog/parser_sv17.v ====================================================================== read verilog/parser_vectors.v ====================================================================== read verilog/pinorder.v ====================================================================== read verilog/pli.v ====================================================================== read verilog/t_80_foo.v ====================================================================== read verilog/t_86_vhier_tick.v ====================================================================== read verilog/t_86_vhier_tick_sub.v ====================================================================== read verilog/test.v ====================================================================== read verilog/v_comments.v ====================================================================== read verilog/v_gate.v ====================================================================== read verilog/v_hier_noport.v ====================================================================== read verilog/v_hier_sub.v ====================================================================== read verilog/v_hier_subprim.v ====================================================================== read verilog/v_hier_subsub.v ====================================================================== read verilog/v_hier_top.v ====================================================================== read verilog/v_hier_top2.v ====================================================================== read verilog/v_recursive.v ====================================================================== read verilog/v_sv_intf.v ====================================================================== read verilog/v_sv_mod.v ====================================================================== read verilog/v_sv_pgm.v ====================================================================== read verilog/v_sv_pkg.v ====================================================================== read verilog/v_v2k.v ok 3 ok t/40_netlist.t ........ 1..17 ok 1 - use Level tests ok 2 ok 3 ok 4 ok 5 ok 6 ok 7 ok 8 ok 9 ok 10 ok 11 ok 12 ok 13 ok 14 ok 15 ok 16 ok 17 ok t/41_example.t ........ 1..3 ok 1 - use ok 2 ok 3 - done ok t/42_dumpcheck.t ...... 1..17 ok 1 - use Dump ok 2 ok 3 ok 4 Dump ok 5 ok 6 ok 7 Dump ok 8 ok 9 ok 10 Dump ok 11 ok 12 ok 13 Edit tests ok 14 ok 15 Dump ok 16 ok 17 ok t/43_storable.t ....... 1..4 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:16 2025 # Current time GMT: Thu Jun 12 23:37:16 2025 # Using Test.pm version 1.31 ok 1 ok 2 ok 3 ok 4 ok t/44_create.t ......... 1..3 ok 1 - use ok 2 ok 3 - done ok t/46_link.t ........... 1..2 ok 1 - use Dump Module:buffer Kwd:module File:verilog/v_gate.v Port:A Dir:in DataT: Array: Port:Z Dir:out DataT: Array: Net:A O DeclT:port NetT: DataT: Array: Net:Z I DeclT:port NetT: DataT: Array: Cell:u_buf is-a:buf Pin:pin1 Net:Z Net:Z I DeclT:port NetT: DataT: Array: Pin:pin2 Net:A Net:A O DeclT:port NetT: DataT: Array: Module:gate Kwd:module File:verilog/v_gate.v Port:A Dir:in DataT: Array: Port:Z Dir:out DataT: Array: Net:A IO DeclT:port NetT: DataT: Array: Net:Z IO DeclT:port NetT: DataT: Array: Cell:u_buf is-a:buffer Module:buffer Kwd:module File:verilog/v_gate.v Pin:A Net:A Port:A Dir:in DataT: Array: Net:A IO DeclT:port NetT: DataT: Array: Pin:Z Net:Z Port:Z Dir:out DataT: Array: Net:Z IO DeclT:port NetT: DataT: Array: ok 2 - done ok t/48_leak.t ........... 1..2 # Running under perl version 5.038002 for linux # Current time local: Thu Jun 12 23:37:17 2025 # Current time GMT: Thu Jun 12 23:37:17 2025 # Using Test.pm version 1.31 0: Memory 20.887 MB Alloced 0.516 MB 1: Memory 20.992 MB Alloced 0.105 MB ok 1 ok 2 ok t/49_largeish.t ....... 1..4 Wrote test_dir/largeish_1.v: 0.000 MB Wrote test_dir/largeish_2.v: 0.031 MB Wrote test_dir/largeish_3.v: 0.359 MB For sigparser test_dir/largeish_2.v: File 0.036 MB, 0.009 s, 25.793 MB, Alloced 0.605 MB, 16.7 Alloc/FileB 0.2 s/MB For sigparser test_dir/largeish_3.v: File 0.362 MB, 0.079 s, 28.949 MB, Alloced 3.762 MB, 10.4 Alloc/FileB 0.2 s/MB ok 1 - run complete ok 2 - complexity For netlist test_dir/largeish_2.v: File 0.036 MB, 0.029 s, 28.949 MB, Alloced 0.000 MB, 0.0 Alloc/FileB 0.8 s/MB For netlist test_dir/largeish_3.v: File 0.362 MB, 0.323 s, 42.562 MB, Alloced 13.613 MB, 37.6 Alloc/FileB 0.9 s/MB ok 3 - run complete ok 4 - complexity ok t/50_vrename.t ........ 1..6 Checking vrename... /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list -xref verilog/test.v parse file verilog/test.v Wrote test_dir/signals.vrename (Changes list, 5 signals) ok 1 - vrename list ok 2 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile verilog/test.vrename -o test_dir verilog/test.v Read verilog/test.vrename Wrote verilog/test.v (3 signals matched) ok 3 - vrename change ok 4 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -list --crypt -o test_dir verilog/test.v parse file verilog/test.v Wrote test_dir/signals.vrename (Changes list, 5 signals) /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/signals.vrename -change --crypt -o test_dir verilog/test.v Read test_dir/signals.vrename Encrypted verilog/test.v (1 signals matched) ok 5 - vrename crypt ok 6 - output exists ok t/51_vrename_kwd.t .... 1..6 Checking vrename... /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -changefile=test_dir/51_vrename_kwd_list.vrename -list --changelang --language 1364-1995 t/51_vrename_kwd.v parse file t/51_vrename_kwd.v Wrote test_dir/51_vrename_kwd_list.vrename (Changes list, 22 signals) ok 1 - vrename list ok 2 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=test_dir/51_vrename_kwd_list.vrename -o test_dir t/51_vrename_kwd.v Read test_dir/51_vrename_kwd_list.vrename Wrote t/51_vrename_kwd.v (1 signals matched) ok 3 - vrename change same ok 4 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vrename -change --changefile=t/51_vrename_kwd_chg2.vrename -o test_dir t/51_vrename_kwd.v Read t/51_vrename_kwd_chg2.vrename Wrote t/51_vrename_kwd.v (19 signals matched) ok 5 - vrename change ok 6 - diff ok t/56_editfiles.t ...... 1..9 ok 1 ok 2 - new test_dir/56_editfiles.v (Changed) ok 3 - edit_file ok 4 - diff Reading t/56_editfiles.v... ok 5 - read_and_split Writing test_dir/editout/a.v... Writing test_dir/editout/b.v... ok 6 - write_files ok 7 - diff ok 8 - diff Writing test_dir/editout/0LINT.sh... ok 9 - write_lint ok t/58_vsplitmodule.t ... 1..2 Checking vsplitmodule... /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vsplitmodule Reading t/32_noinc.v... Reading t/51_vrename_kwd.v... Reading t/56_editfiles.v... Writing test_dir/32_noinc.v... Writing test_dir/51_vrename_kwd.v... Writing test_dir/a.v... Writing test_dir/b.v... Writing test_dir/0LINT.sh... ok 1 - vsplitmodule ok 2 - vsplitmodule output ok t/60_vpassert.t ....... 1..6 Checking vpassert... /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --minimum --nostop --date --axiom --verilator --vcs --synthcov -o test_dir/.vpassert -y verilog/ VPASSERT (or overall flags) changed... Two minutes... VPASSERT'ing file (1) verilog/pinorder.v (New) (no-output) VPASSERT'ing file (2) verilog/v_sv_intf.v (New) (no-output) VPASSERT'ing file (3) verilog/v_hier_sub.v (New) (no-output) VPASSERT'ing file (4) verilog/v_gate.v (New) (no-output) VPASSERT'ing file (5) verilog/v_sv_pkg.v (New) (no-output) VPASSERT'ing file (6) verilog/v_hier_top2.v (New) (no-output) VPASSERT'ing file (7) verilog/v_sv_pgm.v (New) (no-output) VPASSERT'ing file (8) verilog/pli.v (New) VPASSERT'ing file (9) verilog/parser_sv09.v (New) (no-output) VPASSERT'ing file (10) verilog/parser_bugs.v (New) (no-output) VPASSERT'ing file (11) verilog/parser_sv17.v (New) (no-output) VPASSERT'ing file (12) verilog/v_comments.v (New) (no-output) VPASSERT'ing file (13) verilog/inc_ifdef.v (New) (no-output) VPASSERT'ing file (14) verilog/v_hier_noport.v (New) (no-output) VPASSERT'ing file (15) verilog/v_v2k.v (New) (no-output) VPASSERT'ing file (16) verilog/v_hier_top.v (New) (no-output) VPASSERT'ing file (17) verilog/inc1.v (New) (no-output) VPASSERT'ing file (18) verilog/test.v (New) (no-output) VPASSERT'ing file (19) verilog/v_sv_mod.v (New) (no-output) VPASSERT'ing file (20) verilog/parser_sv.v (New) (no-output) VPASSERT'ing file (21) verilog/v_recursive.v (New) (no-output) VPASSERT'ing file (22) verilog/t_86_vhier_tick_sub.v (New) (no-output) VPASSERT'ing file (23) verilog/t_86_vhier_tick.v (New) (no-output) VPASSERT'ing file (24) verilog/v_hier_subsub.v (New) (no-output) VPASSERT'ing file (25) verilog/inc_def09.v (New) (no-output) VPASSERT'ing file (26) verilog/parser_vectors.v (New) (no-output) VPASSERT'ing file (27) verilog/v_hier_subprim.v (New) (no-output) VPASSERT'ing file (28) verilog/inc_nonl.v (New) (no-output) VPASSERT'ing file (29) verilog/inc2.v (New) (no-output) VPASSERT'ing file (30) verilog/example.v (New) VPASSERT'ing file (31) verilog/t_80_foo.v (New) (no-output) VPASSERT generated 31 new file(s) ok 1 - vpassert ran ok 2 - pli.v created Line count: inc1.v: 693 =? 693 Line count: inc2.v: 5 =? 5 Line count: inc_def09.v: 72 =? 72 Line count: inc_ifdef.v: 39 =? 39 Line count: inc_nonl.v: 1 =? 1 Line count: parser_bugs.v: 586 =? 586 Line count: parser_sv.v: 424 =? 424 Line count: parser_sv09.v: 57 =? 57 Line count: parser_sv17.v: 8 =? 8 Line count: parser_vectors.v: 55 =? 55 Line count: pinorder.v: 50 =? 50 Line count: t_80_foo.v: 9 =? 9 Line count: t_86_vhier_tick.v: 9 =? 9 Line count: t_86_vhier_tick_sub.v: 5 =? 5 Line count: test.v: 28 =? 28 Line count: v_comments.v: 33 =? 33 Line count: v_gate.v: 10 =? 10 Line count: v_hier_noport.v: 7 =? 7 Line count: v_hier_sub.v: 40 =? 40 Line count: v_hier_subprim.v: 35 =? 35 Line count: v_hier_subsub.v: 31 =? 31 Line count: v_hier_top.v: 45 =? 45 Line count: v_hier_top2.v: 19 =? 19 Line count: v_recursive.v: 7 =? 7 Line count: v_sv_intf.v: 14 =? 14 Line count: v_sv_mod.v: 21 =? 21 Line count: v_sv_pgm.v: 6 =? 6 Line count: v_sv_pkg.v: 11 =? 11 Line count: v_v2k.v: 31 =? 31 ok 3 - lines output ok 4 - diff output /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vpassert --date --verilator --vcs --call-error '$callError' --call-info '$callInfo' --call-warn '$callWarn' -o test_dir/.vpassertcall -y verilog/ VPASSERT (or overall flags) changed... Two minutes... VPASSERT'ing file (1) verilog/pinorder.v (New) (no-output) VPASSERT'ing file (2) verilog/v_sv_intf.v (New) (no-output) VPASSERT'ing file (3) verilog/v_hier_sub.v (New) (no-output) VPASSERT'ing file (4) verilog/v_gate.v (New) (no-output) VPASSERT'ing file (5) verilog/v_sv_pkg.v (New) (no-output) VPASSERT'ing file (6) verilog/v_hier_top2.v (New) (no-output) VPASSERT'ing file (7) verilog/v_sv_pgm.v (New) (no-output) VPASSERT'ing file (8) verilog/pli.v (New) VPASSERT'ing file (9) verilog/parser_sv09.v (New) (no-output) VPASSERT'ing file (10) verilog/parser_bugs.v (New) (no-output) VPASSERT'ing file (11) verilog/parser_sv17.v (New) (no-output) VPASSERT'ing file (12) verilog/v_comments.v (New) (no-output) VPASSERT'ing file (13) verilog/inc_ifdef.v (New) (no-output) VPASSERT'ing file (14) verilog/v_hier_noport.v (New) (no-output) VPASSERT'ing file (15) verilog/v_v2k.v (New) (no-output) VPASSERT'ing file (16) verilog/v_hier_top.v (New) (no-output) VPASSERT'ing file (17) verilog/inc1.v (New) (no-output) VPASSERT'ing file (18) verilog/test.v (New) (no-output) VPASSERT'ing file (19) verilog/v_sv_mod.v (New) (no-output) VPASSERT'ing file (20) verilog/parser_sv.v (New) (no-output) VPASSERT'ing file (21) verilog/v_recursive.v (New) (no-output) VPASSERT'ing file (22) verilog/t_86_vhier_tick_sub.v (New) (no-output) VPASSERT'ing file (23) verilog/t_86_vhier_tick.v (New) (no-output) VPASSERT'ing file (24) verilog/v_hier_subsub.v (New) (no-output) VPASSERT'ing file (25) verilog/inc_def09.v (New) (no-output) VPASSERT'ing file (26) verilog/parser_vectors.v (New) (no-output) VPASSERT'ing file (27) verilog/v_hier_subprim.v (New) (no-output) VPASSERT'ing file (28) verilog/inc_nonl.v (New) (no-output) VPASSERT'ing file (29) verilog/inc2.v (New) (no-output) VPASSERT'ing file (30) verilog/example.v (New) VPASSERT'ing file (31) verilog/t_80_foo.v (New) (no-output) VPASSERT generated 31 new file(s) ok 5 - diff ok 6 # skip author only test (harmless) ok t/80_vppreproc.t ...... 1..15 Checking vppreproc... /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog inc2.v > test_dir/vppreproc_none.v ok 1 - run command ok 2 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog inc2.v > test_dir/vppreproc_none.v ok 3 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v ok 4 - run command ok 5 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --nocomment --pedantic -y verilog inc2.v > test_dir/vppreproc_cmped.v ok 6 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v ok 7 - run command ok 8 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --simple -y verilog inc2.v > test_dir/vppreproc_simple.v ok 9 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v ok 10 - run command ok 11 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc --dump-defines -y verilog inc2.v > test_dir/vppreproc_defines.v ok 12 - diff /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v ok 13 - run command ok 14 - vppreproc output from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -f verilog/t_80_foo.f -y verilog inc2.v > test_dir/vppreproc_rel_file.v ok 15 - diff ok t/85_vhier.t .......... 1..19 Checking vhier... /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 1 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --cells --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 2 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --includes --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --includes --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 4 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 5 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 6 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 7 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --resolve-files --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 8 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 9 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --language 2001 --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 10 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 11 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --module-files --top-module v_hier_sub --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 12 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 13 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 14 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 15 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --forest --instance --skiplist t/85_vhier_skiplist.dat --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 16 - vhier file compare /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --includes --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 17 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --xml --cells --includes --input-files --module-files --missing-modules --nomissing -y verilog v_hier_top.v -o test_dir/vhier.out ok 18 - vhier file compare ok 19 # skip author only XML test (harmless) ok t/86_vhier_tick.t ..... 1..2 ok 1 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v ok 2 - /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files -y verilog t_86_vhier_tick.v ok t/87_vhier_unicode.t .. 1..3 ok 1 /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog test_dir/unicode.v > test_dir/unicode_vppreproc.out ok 2 - vppreproc outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vppreproc -y verilog test_dir/unicode.v > test_dir/unicode_vppreproc.out /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog test_dir/unicode.v -o test_dir/unicode_vhier.out ok 3 - vhier outputted from: /usr/bin/perl -Iblib/arch -Iblib/lib -IPreproc/blib/arch -IPreproc/blib/lib ./vhier --input-files --nomissing -y verilog test_dir/unicode.v -o test_dir/unicode_vhier.out ok All tests successful. Files=34, Tests=487, 10 wallclock secs ( 0.17 usr 0.04 sys + 6.62 cusr 1.01 csys = 7.84 CPU) Result: PASS make[1]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482' create-stamp debian/debhelper-build-stamp dh_prep debian/rules override_dh_auto_install make[1]: Entering directory '/build/reproducible-path/libverilog-perl-3.482' dh_auto_install make -j42 install DESTDIR=/build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl AM_UPDATE_INFO_DIR=no PREFIX=/usr make[2]: Entering directory '/build/reproducible-path/libverilog-perl-3.482' make[3]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Parser' "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Parser.bs ../blib/arch/auto/Verilog/Parser/Parser.bs 644 Manifying 2 pod documents make[3]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Parser' make[3]: Entering directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' "/usr/bin/perl" -MExtUtils::Command::MM -e 'cp_nonempty' -- Preproc.bs ../blib/arch/auto/Verilog/Preproc/Preproc.bs 644 Manifying 1 pod document make[3]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482/Preproc' Manifying 4 pod documents Manifying 18 pod documents Files found in blib/arch: installing files in blib/lib into architecture dependent library tree Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/auto/Verilog/Parser/Parser.so Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/auto/Verilog/Preproc/Preproc.so Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Language.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Preproc.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Getopt.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Std.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/EditFiles.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Parser.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/SigParser.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/ContAssign.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Cell.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/ModPort.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Subclass.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Port.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Defparam.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Pin.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Module.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Interface.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Logger.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/File.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/Net.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/lib/x86_64-linux-gnu/perl5/5.38/Verilog/Netlist/PinSelection.pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man1/vhier.1p Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man1/vrename.1p Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man1/vppreproc.1p Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man1/vpassert.1p Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Subclass.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Std.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::PinSelection.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Defparam.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::ModPort.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Language.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Net.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Cell.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Preproc.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::ContAssign.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::SigParser.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Parser.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Module.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::File.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Interface.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Port.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::EditFiles.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Pin.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Netlist::Logger.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/share/man/man3/Verilog::Getopt.3pm Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/bin/vsplitmodule Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/bin/vpassert Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/bin/vppreproc Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/bin/vhier Installing /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/bin/vrename make[2]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482' rm /build/reproducible-path/libverilog-perl-3.482/debian/libverilog-perl/usr/bin/vsplitmodule make[1]: Leaving directory '/build/reproducible-path/libverilog-perl-3.482' dh_installdocs dh_installchangelogs dh_installexamples dh_installman dh_perl dh_link dh_strip_nondeterminism dh_compress dh_fixperms dh_missing dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb dh_gencontrol dh_md5sums dh_builddeb dpkg-deb: building package 'libverilog-perl-dbgsym' in '../libverilog-perl-dbgsym_3.482-1_amd64.deb'. dpkg-deb: building package 'libverilog-perl' in '../libverilog-perl_3.482-1_amd64.deb'. dpkg-genbuildinfo --build=binary -O../libverilog-perl_3.482-1_amd64.buildinfo dpkg-genchanges --build=binary -O../libverilog-perl_3.482-1_amd64.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/B01_cleanup starting I: user script /srv/workspace/pbuilder/1807687/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/1807687 and its subdirectories I: Current time: Fri Jun 13 13:37:30 +14 2025 I: pbuilder-time-stamp: 1749771450