I: pbuilder: network access will be disabled during build I: Current time: Mon Oct 26 23:35:03 -12 2020 I: pbuilder-time-stamp: 1603798503 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/buster-reproducible-base.tgz] I: copying local configuration I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: using eatmydata during job I: Copying source file I: copying [verilator_4.010-1.dsc] I: copying [./verilator_4.010.orig.tar.gz] I: copying [./verilator_4.010-1.debian.tar.xz] I: Extracting source gpgv: unknown type of key resource 'trustedkeys.kbx' gpgv: keyblock resource '/root/.gnupg/trustedkeys.kbx': General error gpgv: Signature made Sat Feb 9 15:50:10 2019 -12 gpgv: using RSA key BC196A94EDDDA1B7 gpgv: Can't check signature: No public key dpkg-source: warning: failed to verify signature on ./verilator_4.010-1.dsc dpkg-source: info: extracting verilator in verilator-4.010 dpkg-source: info: unpacking verilator_4.010.orig.tar.gz dpkg-source: info: unpacking verilator_4.010-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying shebang.diff dpkg-source: info: applying pkgconfig.diff dpkg-source: info: applying typos.diff dpkg-source: info: applying reproducible_build.diff I: using fakeroot in build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/22312/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='i386' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=10' DISTRIBUTION='' HOME='/root' HOST_ARCH='i386' IFS=' ' INVOCATION_ID='8d25b960d0c24e658bcd1d75cf5b79be' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' LD_LIBRARY_PATH='/usr/lib/libeatmydata' LD_PRELOAD='libeatmydata.so' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='22312' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/tmp.phvmX5uXNB/pbuilderrc_Uhjd --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/buster-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/tmp.phvmX5uXNB/b1 --logfile b1/build.log verilator_4.010-1.dsc' SUDO_GID='112' SUDO_UID='107' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://78.137.99.97:3128' I: uname -a Linux profitbricks-build12-i386 4.19.0-12-686-pae #1 SMP Debian 4.19.152-1 (2020-10-18) i686 GNU/Linux I: ls -l /bin total 5488 -rwxr-xr-x 1 root root 1302248 Apr 17 2019 bash -rwxr-xr-x 3 root root 38280 Jul 10 2019 bunzip2 -rwxr-xr-x 3 root root 38280 Jul 10 2019 bzcat lrwxrwxrwx 1 root root 6 Jul 10 2019 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2227 Jul 10 2019 bzdiff lrwxrwxrwx 1 root root 6 Jul 10 2019 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4877 Jun 24 2019 bzexe lrwxrwxrwx 1 root root 6 Jul 10 2019 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3641 Jul 10 2019 bzgrep -rwxr-xr-x 3 root root 38280 Jul 10 2019 bzip2 -rwxr-xr-x 1 root root 17768 Jul 10 2019 bzip2recover lrwxrwxrwx 1 root root 6 Jul 10 2019 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Jul 10 2019 bzmore -rwxr-xr-x 1 root root 38692 Feb 28 2019 cat -rwxr-xr-x 1 root root 75588 Feb 28 2019 chgrp -rwxr-xr-x 1 root root 63268 Feb 28 2019 chmod -rwxr-xr-x 1 root root 75588 Feb 28 2019 chown -rwxr-xr-x 1 root root 153732 Feb 28 2019 cp -rwxr-xr-x 1 root root 132820 Jan 17 2019 dash -rwxr-xr-x 1 root root 120676 Feb 28 2019 date -rwxr-xr-x 1 root root 92040 Feb 28 2019 dd -rwxr-xr-x 1 root root 100620 Feb 28 2019 df -rwxr-xr-x 1 root root 149736 Feb 28 2019 dir -rwxr-xr-x 1 root root 79412 Jan 9 2019 dmesg lrwxrwxrwx 1 root root 8 Sep 26 2018 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Sep 26 2018 domainname -> hostname -rwxr-xr-x 1 root root 34532 Feb 28 2019 echo -rwxr-xr-x 1 root root 28 Jan 7 2019 egrep -rwxr-xr-x 1 root root 34532 Feb 28 2019 false -rwxr-xr-x 1 root root 28 Jan 7 2019 fgrep -rwxr-xr-x 1 root root 67700 Jan 9 2019 findmnt -rwsr-xr-x 1 root root 30112 Apr 22 2020 fusermount -rwxr-xr-x 1 root root 206392 Jan 7 2019 grep -rwxr-xr-x 2 root root 2345 Jan 5 2019 gunzip -rwxr-xr-x 1 root root 6375 Jan 5 2019 gzexe -rwxr-xr-x 1 root root 100952 Jan 5 2019 gzip -rwxr-xr-x 1 root root 21916 Sep 26 2018 hostname -rwxr-xr-x 1 root root 79752 Feb 28 2019 ln -rwxr-xr-x 1 root root 55400 Jul 26 2018 login -rwxr-xr-x 1 root root 149736 Feb 28 2019 ls -rwxr-xr-x 1 root root 112032 Jan 9 2019 lsblk -rwxr-xr-x 1 root root 87972 Feb 28 2019 mkdir -rwxr-xr-x 1 root root 79748 Feb 28 2019 mknod -rwxr-xr-x 1 root root 46916 Feb 28 2019 mktemp -rwxr-xr-x 1 root root 42348 Jan 9 2019 more -rwsr-xr-x 1 root root 50592 Jan 9 2019 mount -rwxr-xr-x 1 root root 13724 Jan 9 2019 mountpoint -rwxr-xr-x 1 root root 157832 Feb 28 2019 mv lrwxrwxrwx 1 root root 8 Sep 26 2018 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Feb 14 2019 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 38660 Feb 28 2019 pwd lrwxrwxrwx 1 root root 4 Apr 17 2019 rbash -> bash -rwxr-xr-x 1 root root 46852 Feb 28 2019 readlink -rwxr-xr-x 1 root root 75588 Feb 28 2019 rm -rwxr-xr-x 1 root root 42756 Feb 28 2019 rmdir -rwxr-xr-x 1 root root 22276 Jan 21 2019 run-parts -rwxr-xr-x 1 root root 125036 Dec 22 2018 sed lrwxrwxrwx 1 root root 4 Oct 20 20:27 sh -> dash -rwxr-xr-x 1 root root 34532 Feb 28 2019 sleep -rwxr-xr-x 1 root root 79652 Feb 28 2019 stty -rwsr-xr-x 1 root root 71072 Jan 9 2019 su -rwxr-xr-x 1 root root 34564 Feb 28 2019 sync -rwxr-xr-x 1 root root 504024 Apr 23 2019 tar -rwxr-xr-x 1 root root 13860 Jan 21 2019 tempfile -rwxr-xr-x 1 root root 104292 Feb 28 2019 touch -rwxr-xr-x 1 root root 34532 Feb 28 2019 true -rwxr-xr-x 1 root root 17768 Apr 22 2020 ulockmgr_server -rwsr-xr-x 1 root root 30108 Jan 9 2019 umount -rwxr-xr-x 1 root root 34532 Feb 28 2019 uname -rwxr-xr-x 2 root root 2345 Jan 5 2019 uncompress -rwxr-xr-x 1 root root 149736 Feb 28 2019 vdir -rwxr-xr-x 1 root root 34208 Jan 9 2019 wdctl -rwxr-xr-x 1 root root 946 Jan 21 2019 which lrwxrwxrwx 1 root root 8 Sep 26 2018 ypdomainname -> hostname -rwxr-xr-x 1 root root 1983 Jan 5 2019 zcat -rwxr-xr-x 1 root root 1677 Jan 5 2019 zcmp -rwxr-xr-x 1 root root 5879 Jan 5 2019 zdiff -rwxr-xr-x 1 root root 29 Jan 5 2019 zegrep -rwxr-xr-x 1 root root 29 Jan 5 2019 zfgrep -rwxr-xr-x 1 root root 2080 Jan 5 2019 zforce -rwxr-xr-x 1 root root 7584 Jan 5 2019 zgrep -rwxr-xr-x 1 root root 2205 Jan 5 2019 zless -rwxr-xr-x 1 root root 1841 Jan 5 2019 zmore -rwxr-xr-x 1 root root 4552 Jan 5 2019 znew I: user script /srv/workspace/pbuilder/22312/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: i386 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper (>= 12), flex, bison, libfl-dev, libsystemc-dev dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19234 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper (>= 12); however: Package debhelper is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on libfl-dev; however: Package libfl-dev is not installed. pbuilder-satisfydepends-dummy depends on libsystemc-dev; however: Package libsystemc-dev is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bison{a} bsdmainutils{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} flex{a} gettext{a} gettext-base{a} groff-base{a} intltool-debian{a} libarchive-zip-perl{a} libbison-dev{a} libbsd0{a} libcroco3{a} libelf1{a} libfile-stripnondeterminism-perl{a} libfl-dev{a} libfl2{a} libglib2.0-0{a} libicu63{a} libmagic-mgc{a} libmagic1{a} libncurses6{a} libpipeline1{a} libsigsegv2{a} libsystemc{a} libsystemc-dev{a} libtool{a} libuchardet0{a} libxml2{a} m4{a} man-db{a} po-debconf{a} sensible-utils{a} The following packages are RECOMMENDED but will NOT be installed: curl libarchive-cpio-perl libglib2.0-data libgpm2 libltdl-dev libmail-sendmail-perl lynx shared-mime-info wget xdg-user-dirs 0 packages upgraded, 40 newly installed, 0 to remove and 0 not upgraded. Need to get 22.1 MB of archives. After unpacking 79.5 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian buster/main i386 libbsd0 i386 0.9.1-2 [104 kB] Get: 2 http://deb.debian.org/debian buster/main i386 bsdmainutils i386 11.1.2+b1 [193 kB] Get: 3 http://deb.debian.org/debian buster/main i386 libuchardet0 i386 0.0.6-3 [65.2 kB] Get: 4 http://deb.debian.org/debian buster/main i386 groff-base i386 1.22.4-3 [935 kB] Get: 5 http://deb.debian.org/debian buster/main i386 libpipeline1 i386 1.5.1-2 [33.3 kB] Get: 6 http://deb.debian.org/debian buster/main i386 man-db i386 2.8.5-2 [1284 kB] Get: 7 http://deb.debian.org/debian buster/main i386 libsigsegv2 i386 2.12-2 [33.2 kB] Get: 8 http://deb.debian.org/debian buster/main i386 m4 i386 1.4.18-2 [205 kB] Get: 9 http://deb.debian.org/debian buster/main i386 flex i386 2.6.4-6.2 [446 kB] Get: 10 http://deb.debian.org/debian buster/main i386 sensible-utils all 0.0.12 [15.8 kB] Get: 11 http://deb.debian.org/debian buster/main i386 libmagic-mgc i386 1:5.35-4+deb10u1 [242 kB] Get: 12 http://deb.debian.org/debian buster/main i386 libmagic1 i386 1:5.35-4+deb10u1 [124 kB] Get: 13 http://deb.debian.org/debian buster/main i386 file i386 1:5.35-4+deb10u1 [66.3 kB] Get: 14 http://deb.debian.org/debian buster/main i386 gettext-base i386 0.19.8.1-9 [124 kB] Get: 15 http://deb.debian.org/debian buster/main i386 autoconf all 2.69-11 [341 kB] Get: 16 http://deb.debian.org/debian buster/main i386 autotools-dev all 20180224.1 [77.0 kB] Get: 17 http://deb.debian.org/debian buster/main i386 automake all 1:1.16.1-4 [771 kB] Get: 18 http://deb.debian.org/debian buster/main i386 autopoint all 0.19.8.1-9 [434 kB] Get: 19 http://deb.debian.org/debian buster/main i386 libbison-dev i386 2:3.3.2.dfsg-1 [500 kB] Get: 20 http://deb.debian.org/debian buster/main i386 bison i386 2:3.3.2.dfsg-1 [877 kB] Get: 21 http://deb.debian.org/debian buster/main i386 libtool all 2.4.6-9 [547 kB] Get: 22 http://deb.debian.org/debian buster/main i386 dh-autoreconf all 19 [16.9 kB] Get: 23 http://deb.debian.org/debian buster/main i386 libarchive-zip-perl all 1.64-1 [96.8 kB] Get: 24 http://deb.debian.org/debian buster/main i386 libfile-stripnondeterminism-perl all 1.1.2-1 [19.8 kB] Get: 25 http://deb.debian.org/debian buster/main i386 dh-strip-nondeterminism all 1.1.2-1 [13.0 kB] Get: 26 http://deb.debian.org/debian buster/main i386 libelf1 i386 0.176-1.1 [166 kB] Get: 27 http://deb.debian.org/debian buster/main i386 dwz i386 0.12-3 [81.4 kB] Get: 28 http://deb.debian.org/debian buster/main i386 libglib2.0-0 i386 2.58.3-2+deb10u2 [1334 kB] Get: 29 http://deb.debian.org/debian buster/main i386 libicu63 i386 63.1-6+deb10u1 [8451 kB] Get: 30 http://deb.debian.org/debian buster/main i386 libxml2 i386 2.9.4+dfsg1-7+b3 [721 kB] Get: 31 http://deb.debian.org/debian buster/main i386 libcroco3 i386 0.6.12-3 [151 kB] Get: 32 http://deb.debian.org/debian buster/main i386 libncurses6 i386 6.1+20181013-2+deb10u2 [109 kB] Get: 33 http://deb.debian.org/debian buster/main i386 gettext i386 0.19.8.1-9 [1314 kB] Get: 34 http://deb.debian.org/debian buster/main i386 intltool-debian all 0.35.0+20060710.5 [26.8 kB] Get: 35 http://deb.debian.org/debian buster/main i386 po-debconf all 1.0.21 [248 kB] Get: 36 http://deb.debian.org/debian buster/main i386 debhelper all 12.1.1 [1016 kB] Get: 37 http://deb.debian.org/debian buster/main i386 libfl2 i386 2.6.4-6.2 [103 kB] Get: 38 http://deb.debian.org/debian buster/main i386 libfl-dev i386 2.6.4-6.2 [104 kB] Get: 39 http://deb.debian.org/debian buster/main i386 libsystemc i386 2.3.3-2 [482 kB] Get: 40 http://deb.debian.org/debian buster/main i386 libsystemc-dev i386 2.3.3-2 [240 kB] Fetched 22.1 MB in 1s (17.0 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package libbsd0:i386. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19234 files and directories currently installed.) Preparing to unpack .../00-libbsd0_0.9.1-2_i386.deb ... Unpacking libbsd0:i386 (0.9.1-2) ... Selecting previously unselected package bsdmainutils. Preparing to unpack .../01-bsdmainutils_11.1.2+b1_i386.deb ... Unpacking bsdmainutils (11.1.2+b1) ... Selecting previously unselected package libuchardet0:i386. Preparing to unpack .../02-libuchardet0_0.0.6-3_i386.deb ... Unpacking libuchardet0:i386 (0.0.6-3) ... Selecting previously unselected package groff-base. Preparing to unpack .../03-groff-base_1.22.4-3_i386.deb ... Unpacking groff-base (1.22.4-3) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../04-libpipeline1_1.5.1-2_i386.deb ... Unpacking libpipeline1:i386 (1.5.1-2) ... Selecting previously unselected package man-db. Preparing to unpack .../05-man-db_2.8.5-2_i386.deb ... Unpacking man-db (2.8.5-2) ... Selecting previously unselected package libsigsegv2:i386. Preparing to unpack .../06-libsigsegv2_2.12-2_i386.deb ... Unpacking libsigsegv2:i386 (2.12-2) ... Selecting previously unselected package m4. Preparing to unpack .../07-m4_1.4.18-2_i386.deb ... Unpacking m4 (1.4.18-2) ... Selecting previously unselected package flex. Preparing to unpack .../08-flex_2.6.4-6.2_i386.deb ... Unpacking flex (2.6.4-6.2) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../09-sensible-utils_0.0.12_all.deb ... Unpacking sensible-utils (0.0.12) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../10-libmagic-mgc_1%3a5.35-4+deb10u1_i386.deb ... Unpacking libmagic-mgc (1:5.35-4+deb10u1) ... Selecting previously unselected package libmagic1:i386. Preparing to unpack .../11-libmagic1_1%3a5.35-4+deb10u1_i386.deb ... Unpacking libmagic1:i386 (1:5.35-4+deb10u1) ... Selecting previously unselected package file. Preparing to unpack .../12-file_1%3a5.35-4+deb10u1_i386.deb ... Unpacking file (1:5.35-4+deb10u1) ... Selecting previously unselected package gettext-base. Preparing to unpack .../13-gettext-base_0.19.8.1-9_i386.deb ... Unpacking gettext-base (0.19.8.1-9) ... Selecting previously unselected package autoconf. Preparing to unpack .../14-autoconf_2.69-11_all.deb ... Unpacking autoconf (2.69-11) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../15-autotools-dev_20180224.1_all.deb ... Unpacking autotools-dev (20180224.1) ... Selecting previously unselected package automake. Preparing to unpack .../16-automake_1%3a1.16.1-4_all.deb ... Unpacking automake (1:1.16.1-4) ... Selecting previously unselected package autopoint. Preparing to unpack .../17-autopoint_0.19.8.1-9_all.deb ... Unpacking autopoint (0.19.8.1-9) ... Selecting previously unselected package libbison-dev:i386. Preparing to unpack .../18-libbison-dev_2%3a3.3.2.dfsg-1_i386.deb ... Unpacking libbison-dev:i386 (2:3.3.2.dfsg-1) ... Selecting previously unselected package bison. Preparing to unpack .../19-bison_2%3a3.3.2.dfsg-1_i386.deb ... Unpacking bison (2:3.3.2.dfsg-1) ... Selecting previously unselected package libtool. Preparing to unpack .../20-libtool_2.4.6-9_all.deb ... Unpacking libtool (2.4.6-9) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../21-dh-autoreconf_19_all.deb ... Unpacking dh-autoreconf (19) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../22-libarchive-zip-perl_1.64-1_all.deb ... Unpacking libarchive-zip-perl (1.64-1) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../23-libfile-stripnondeterminism-perl_1.1.2-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.1.2-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../24-dh-strip-nondeterminism_1.1.2-1_all.deb ... Unpacking dh-strip-nondeterminism (1.1.2-1) ... Selecting previously unselected package libelf1:i386. Preparing to unpack .../25-libelf1_0.176-1.1_i386.deb ... Unpacking libelf1:i386 (0.176-1.1) ... Selecting previously unselected package dwz. Preparing to unpack .../26-dwz_0.12-3_i386.deb ... Unpacking dwz (0.12-3) ... Selecting previously unselected package libglib2.0-0:i386. Preparing to unpack .../27-libglib2.0-0_2.58.3-2+deb10u2_i386.deb ... Unpacking libglib2.0-0:i386 (2.58.3-2+deb10u2) ... Selecting previously unselected package libicu63:i386. Preparing to unpack .../28-libicu63_63.1-6+deb10u1_i386.deb ... Unpacking libicu63:i386 (63.1-6+deb10u1) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../29-libxml2_2.9.4+dfsg1-7+b3_i386.deb ... Unpacking libxml2:i386 (2.9.4+dfsg1-7+b3) ... Selecting previously unselected package libcroco3:i386. Preparing to unpack .../30-libcroco3_0.6.12-3_i386.deb ... Unpacking libcroco3:i386 (0.6.12-3) ... Selecting previously unselected package libncurses6:i386. Preparing to unpack .../31-libncurses6_6.1+20181013-2+deb10u2_i386.deb ... Unpacking libncurses6:i386 (6.1+20181013-2+deb10u2) ... Selecting previously unselected package gettext. Preparing to unpack .../32-gettext_0.19.8.1-9_i386.deb ... Unpacking gettext (0.19.8.1-9) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../33-intltool-debian_0.35.0+20060710.5_all.deb ... Unpacking intltool-debian (0.35.0+20060710.5) ... Selecting previously unselected package po-debconf. Preparing to unpack .../34-po-debconf_1.0.21_all.deb ... Unpacking po-debconf (1.0.21) ... Selecting previously unselected package debhelper. Preparing to unpack .../35-debhelper_12.1.1_all.deb ... Unpacking debhelper (12.1.1) ... Selecting previously unselected package libfl2:i386. Preparing to unpack .../36-libfl2_2.6.4-6.2_i386.deb ... Unpacking libfl2:i386 (2.6.4-6.2) ... Selecting previously unselected package libfl-dev:i386. Preparing to unpack .../37-libfl-dev_2.6.4-6.2_i386.deb ... Unpacking libfl-dev:i386 (2.6.4-6.2) ... Selecting previously unselected package libsystemc:i386. Preparing to unpack .../38-libsystemc_2.3.3-2_i386.deb ... Unpacking libsystemc:i386 (2.3.3-2) ... Selecting previously unselected package libsystemc-dev:i386. Preparing to unpack .../39-libsystemc-dev_2.3.3-2_i386.deb ... Unpacking libsystemc-dev:i386 (2.3.3-2) ... Setting up libpipeline1:i386 (1.5.1-2) ... Setting up libbison-dev:i386 (2:3.3.2.dfsg-1) ... Setting up libmagic-mgc (1:5.35-4+deb10u1) ... Setting up libarchive-zip-perl (1.64-1) ... Setting up libglib2.0-0:i386 (2.58.3-2+deb10u2) ... No schema files found: doing nothing. Setting up libmagic1:i386 (1:5.35-4+deb10u1) ... Setting up gettext-base (0.19.8.1-9) ... Setting up file (1:5.35-4+deb10u1) ... Setting up libicu63:i386 (63.1-6+deb10u1) ... Setting up libsystemc:i386 (2.3.3-2) ... Setting up autotools-dev (20180224.1) ... Setting up libsystemc-dev:i386 (2.3.3-2) ... Setting up libncurses6:i386 (6.1+20181013-2+deb10u2) ... Setting up libsigsegv2:i386 (2.12-2) ... Setting up autopoint (0.19.8.1-9) ... Setting up libfl2:i386 (2.6.4-6.2) ... Setting up sensible-utils (0.0.12) ... Setting up libuchardet0:i386 (0.0.6-3) ... Setting up libbsd0:i386 (0.9.1-2) ... Setting up libelf1:i386 (0.176-1.1) ... Setting up libxml2:i386 (2.9.4+dfsg1-7+b3) ... Setting up libfile-stripnondeterminism-perl (1.1.2-1) ... Setting up libtool (2.4.6-9) ... Setting up m4 (1.4.18-2) ... Setting up bsdmainutils (11.1.2+b1) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode Setting up libcroco3:i386 (0.6.12-3) ... Setting up autoconf (2.69-11) ... Setting up dwz (0.12-3) ... Setting up groff-base (1.22.4-3) ... Setting up bison (2:3.3.2.dfsg-1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up automake (1:1.16.1-4) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up flex (2.6.4-6.2) ... Setting up gettext (0.19.8.1-9) ... Setting up man-db (2.8.5-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libfl-dev:i386 (2.6.4-6.2) ... Setting up intltool-debian (0.35.0+20060710.5) ... Setting up po-debconf (1.0.21) ... Setting up debhelper (12.1.1) ... Setting up dh-autoreconf (19) ... Setting up dh-strip-nondeterminism (1.1.2-1) ... Processing triggers for libc-bin (2.28-10) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps Reading package lists... Building dependency tree... Reading state information... fakeroot is already the newest version (1.23-1). 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package I: Running cd /build/verilator-4.010/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b dpkg-buildpackage: info: source package verilator dpkg-buildpackage: info: source version 4.010-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by أحمد المحمودي (Ahmed El-Mahmoudy) dpkg-source --before-build . dpkg-buildpackage: info: host architecture i386 fakeroot debian/rules clean dh clean dh_clean debian/rules build dh build dh_update_autotools_config debian/rules override_dh_auto_configure make[1]: Entering directory '/build/verilator-4.010' dh_auto_configure -- --prefix=/usr LDFLAGS="-Wl,-z,relro -Wl,--as-needed" ./configure --build=i686-linux-gnu --prefix=/usr --includedir=\${prefix}/include --mandir=\${prefix}/share/man --infodir=\${prefix}/share/info --sysconfdir=/etc --localstatedir=/var --disable-silent-rules --libdir=\${prefix}/lib/i386-linux-gnu --runstatedir=/run --disable-maintainer-mode --disable-dependency-tracking --prefix=/usr "LDFLAGS=-Wl,-z,relro -Wl,--as-needed" configuring for Verilator 4.010 2019-01-27 checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking whether allow pre-C++11... no checking for gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... none needed checking for g++... g++ checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (Debian 8.3.0-6) 8.3.0 checking that C++ compiler can compile simple program... yes checking for perl... /usr/bin/perl checking for flex... /usr/bin/flex checking for bison... /usr/bin/bison checking how to run the C++ preprocessor... g++ -E checking for grep that handles long lines and -e... /bin/grep checking for egrep... /bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -std=gnu++14... yes checking whether g++ accepts -std=c++03... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-undefined-bool-conversion... no checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... yes checking whether g++ supports Verilated threads... yes checking for struct stat.st_mtim.tv_nsec... yes configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating src/config_build.h config.status: src/config_build.h is unchanged Now type 'make' (or sometimes 'gmake') to build Verilator. make[1]: Leaving directory '/build/verilator-4.010' dh_auto_build make -j10 make[1]: Entering directory '/build/verilator-4.010' ------------------------------------------------------------ making verilator in src make -C src make[2]: Entering directory '/build/verilator-4.010/src' mkdir -p obj_dbg mkdir -p obj_opt make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[3]: Entering directory '/build/verilator-4.010/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/build/verilator-4.010/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' /usr/bin/perl ../vlcovgen --srcdir .. /usr/bin/perl ../astgen -I.. --classes /usr/bin/perl ../astgen -I.. --classes touch vlcovgen.d make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y Unescaped left brace in regex is deprecated here (and will be fatal in Perl 5.32), passed through in regex; marked by <-- HERE in m/BISONPRE_NOT\((\S+)\)\s*({ <-- HERE [^}]+})\s*$/ at ../bisonpre line 328. Unescaped left brace in regex is deprecated here (and will be fatal in Perl 5.32), passed through in regex; marked by <-- HERE in m/BISONPRE_NOT\((\S+)\)\s*({ <-- HERE [^}]+})\s*$/ at ../bisonpre line 328. edit ../verilog.y V3ParseBison_pretmp.y edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.output V3ParseBison.output Linking ../../bin/verilator_coverage_bin_dbg... rm -rf ../../bin/verilator_coverage_bin_dbg ../../bin/verilator_coverage_bin_dbg.exe g++ -Wl,-z,relro -L/usr/lib/i386-linux-gnu -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lm -lstdc++ make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[3]: Leaving directory '/build/verilator-4.010/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/src/obj_opt' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp edit V3ParseBison_pretmp.h V3ParseBison.h make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Active.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ClkGater.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp /usr/bin/perl ../astgen -I.. V3Const.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ActiveTop.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Assert.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AssertPre.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hashed.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Ast.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3AstNodes.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Begin.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Branch.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Broken.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CCtors.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Case.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cast.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Cdc.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Changed.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clean.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ClkGater.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Clock.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Combine.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Config.cpp /usr/bin/perl ../astgen -I.. V3Const.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Coverage.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3CoverageJoin.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Dead.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Delayed.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Depth.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3DepthBlock.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Descope.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitC.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCInlines.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitCSyms.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitMk.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitV.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3EmitXml.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Expand.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3File.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Gate.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GenClk.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphDfa.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hashed.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inline.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Inst.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3InstrCount.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Life.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LifePost.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkCells.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkDot.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkJump.cpp Linking ../../bin/verilator_bin... rm -rf ../../bin/verilator_bin ../../bin/verilator_bin.exe g++ -Wl,-z,relro -L/usr/lib/i386-linux-gnu -o ../../bin/verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Clean.o V3ClkGater.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphPathChecker.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lm -lstdc++ g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLValue.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkLevel.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkParse.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3LinkResolve.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Localize.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Name.cpp make[3]: Leaving directory '/build/verilator-4.010/src/obj_opt' g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Number.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Options.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Order.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Param.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Partition.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Premit.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Reloop.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scope.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Scoreboard.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Slice.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Split.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3SplitAs.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Stats.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3StatsReport.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Subst.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Table.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Task.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Trace.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TraceDecl.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Tristate.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3TSP.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Undriven.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unknown.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Unroll.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Width.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3WidthSel.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"/usr/include\" -DDEFENV_SYSTEMC_LIBDIR=\"/usr/lib/i386-linux-gnu\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp Linking ../../bin/verilator_bin_dbg... rm -rf ../../bin/verilator_bin_dbg ../../bin/verilator_bin_dbg.exe g++ -Wl,-z,relro -L/usr/lib/i386-linux-gnu -o ../../bin/verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Clean.o V3ClkGater.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphPathChecker.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3InstrCount.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3Partition.o V3PreShell.o V3Premit.o V3Reloop.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3TSP.o V3Undriven.o V3Unknown.o V3Unroll.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lm -lstdc++ make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make[2]: Leaving directory '/build/verilator-4.010/src' Build complete! Now type 'make test' to test. make[1]: Leaving directory '/build/verilator-4.010' dh_auto_test make -j10 test VERBOSE=1 make[1]: Entering directory '/build/verilator-4.010' ------------------------------------------------------------ making verilator in src make -C src make[2]: Entering directory '/build/verilator-4.010/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[3]: Entering directory '/build/verilator-4.010/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/build/verilator-4.010/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make[3]: Nothing to be done for 'serial'. make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[3]: Leaving directory '/build/verilator-4.010/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' make[3]: Entering directory '/build/verilator-4.010/src/obj_opt' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.010/src/obj_opt' make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make[2]: Leaving directory '/build/verilator-4.010/src' test_regress/t/t_a_first_cc.pl for p in examples/* ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[2]: Entering directory '/build/verilator-4.010/examples/hello_world_c' -- Verilator hello-world simple example -- VERILATE ---------------- /build/verilator-4.010/bin/verilator -cc --exe top.v sim_main.cpp ====================================================================== dist/t_a_first_cc: ================================================== -Skip: dist/t_a_first_cc: scenario 'dist' not enabled for test dist/t_a_first_cc: %Skip: Skip: scenario 'dist' not enabled for test test_regress/t/t_a_first_sc.pl -- COMPILE ----------------- make -j 4 -C obj_dir -f Vtop.mk make[3]: Entering directory '/build/verilator-4.010/examples/hello_world_c' make[3]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o verilated.o /build/verilator-4.010/include/verilated.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp > Vtop__ALLcls.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop__Syms.cpp > Vtop__ALLsup.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o Vtop__ALLsup.o Vtop__ALLsup.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp ====================================================================== dist/t_a_first_sc: ================================================== -Skip: dist/t_a_first_sc: scenario 'dist' not enabled for test dist/t_a_first_sc: %Skip: Skip: scenario 'dist' not enabled for test Archiving Vtop__ALL.a ... ar r Vtop__ALL.a Vtop__ALLcls.o Vtop__ALLsup.o ar: creating Vtop__ALL.a ranlib Vtop__ALL.a g++ -Wl,-z,relro sim_main.o verilated.o Vtop__ALL.a -o Vtop -lm -lstdc++ make[3]: Leaving directory '/build/verilator-4.010/examples/hello_world_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:10: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/tracing_c. Note: Also see the EXAMPLE section in the verilator manpage/document. make[2]: Leaving directory '/build/verilator-4.010/examples/hello_world_c' make[2]: Entering directory '/build/verilator-4.010/examples/hello_world_sc' -- Verilator hello-world simple example -- VERILATE ---------------- /build/verilator-4.010/bin/verilator -sc --exe top.v sc_main.cpp -- COMPILE ----------------- make -j 4 -C obj_dir -f Vtop.mk make[3]: Entering directory '/build/verilator-4.010/examples/hello_world_sc' make[3]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -c -o sc_main.o ../sc_main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -c -o verilated.o /build/verilator-4.010/include/verilated.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp > Vtop__ALLcls.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop__Syms.cpp > Vtop__ALLsup.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=0 -DVM_SC=1 -DVM_TRACE=0 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -c -o Vtop__ALLsup.o Vtop__ALLsup.cpp Archiving Vtop__ALL.a ... ar r Vtop__ALL.a Vtop__ALLcls.o Vtop__ALLsup.o ar: creating Vtop__ALL.a ranlib Vtop__ALL.a g++ -Wl,-z,relro -L/usr/lib/i386-linux-gnu sc_main.o verilated.o Vtop__ALL.a -o Vtop -lm -lstdc++ -lsystemc make[3]: Leaving directory '/build/verilator-4.010/examples/hello_world_sc/obj_dir' -- RUN --------------------- obj_dir/Vtop SystemC 2.3.3-Accellera --- Mar 10 2019 06:04:08 Copyright (c) 1996-2018 by all Contributors, ALL RIGHTS RESERVED Hello World! - top.v:10: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/tracing_sc. Note: Also see the EXAMPLE section in the verilator manpage/document. make[2]: Leaving directory '/build/verilator-4.010/examples/hello_world_sc' make[2]: Entering directory '/build/verilator-4.010/examples/tracing_c' -- Verilator tracing example -- VERILATE ---------------- /build/verilator-4.010/bin/verilator -cc --exe -O2 -x-assign 0 -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- COMPILE ----------------- make -j 4 -C obj_dir -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/examples/tracing_c' make[3]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o verilated.o /build/verilator-4.010/include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o verilated_cov.o /build/verilator-4.010/include/verilated_cov.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o verilated_vcd_c.o /build/verilator-4.010/include/verilated_vcd_c.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp > Vtop__ALLcls.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop__Trace.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALLsup.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -O2 -fstrict-aliasing -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALLsup.o Vtop__ALLsup.cpp Archiving Vtop__ALL.a ... ar r Vtop__ALL.a Vtop__ALLcls.o Vtop__ALLsup.o ar: creating Vtop__ALL.a ranlib Vtop__ALL.a g++ -Wl,-z,relro sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o Vtop__ALL.a -o Vtop -lm -lstdc++ make[3]: Leaving directory '/build/verilator-4.010/examples/tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] Model running... [1] clk=0 rstl=1 iquad=1246 -> oquad=1247 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [4] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=128e -> oquad=0 owide=0_00000000_00000000 [6] clk=1 rstl=0 iquad=12a0 -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=12b2 -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=12c4 -> oquad=0 owide=0_00000000_00000000 [9] clk=0 rstl=0 iquad=12d6 -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=12e8 -> oquad=12e9 owide=3_22222222_11111112 [11] clk=0 rstl=1 iquad=12fa -> oquad=12fb owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=130c -> oquad=130d owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=131e -> oquad=131f owide=3_22222222_11111112 [14] clk=1 rstl=1 iquad=1330 -> oquad=1331 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=1342 -> oquad=1343 owide=3_22222222_11111112 [16] clk=1 rstl=1 iquad=1354 -> oquad=1355 owide=3_22222222_11111112 [17] clk=1 rstl=1 iquad=1366 -> oquad=1367 owide=3_22222222_11111112 [18] clk=0 rstl=1 iquad=1378 -> oquad=1379 owide=3_22222222_11111112 [19] clk=0 rstl=1 iquad=138a -> oquad=138b owide=3_22222222_11111112 [20] clk=0 rstl=1 iquad=139c -> oquad=139d owide=3_22222222_11111112 [21] clk=0 rstl=1 iquad=13ae -> oquad=13af owide=3_22222222_11111112 [22] clk=0 rstl=1 iquad=13c0 -> oquad=13c1 owide=3_22222222_11111112 [23] clk=1 rstl=1 iquad=13d2 -> oquad=13d3 owide=3_22222222_11111112 [24] clk=1 rstl=1 iquad=13e4 -> oquad=13e5 owide=3_22222222_11111112 [25] clk=1 rstl=1 iquad=13f6 -> oquad=13f7 owide=3_22222222_11111112 [26] clk=1 rstl=1 iquad=1408 -> oquad=1409 owide=3_22222222_11111112 [27] clk=1 rstl=1 iquad=141a -> oquad=141b owide=3_22222222_11111112 [28] clk=0 rstl=1 iquad=142c -> oquad=142d owide=3_22222222_11111112 [29] clk=0 rstl=1 iquad=143e -> oquad=143f owide=3_22222222_11111112 [30] clk=0 rstl=1 iquad=1450 -> oquad=1451 owide=3_22222222_11111112 [31] clk=0 rstl=1 iquad=1462 -> oquad=1463 owide=3_22222222_11111112 [32] clk=0 rstl=1 iquad=1474 -> oquad=1475 owide=3_22222222_11111112 [33] clk=1 rstl=1 iquad=1486 -> oquad=1487 owide=3_22222222_11111112 [34] clk=1 rstl=1 iquad=1498 -> oquad=1499 owide=3_22222222_11111112 [35] clk=1 rstl=1 iquad=14aa -> oquad=14ab owide=3_22222222_11111112 [36] clk=1 rstl=1 iquad=14bc -> oquad=14bd owide=3_22222222_11111112 [37] clk=1 rstl=1 iquad=14ce -> oquad=14cf owide=3_22222222_11111112 [38] clk=0 rstl=1 iquad=14e0 -> oquad=14e1 owide=3_22222222_11111112 [39] clk=0 rstl=1 iquad=14f2 -> oquad=14f3 owide=3_22222222_11111112 [40] clk=0 rstl=1 iquad=1504 -> oquad=1505 owide=3_22222222_11111112 [41] clk=0 rstl=1 iquad=1516 -> oquad=1517 owide=3_22222222_11111112 [42] clk=0 rstl=1 iquad=1528 -> oquad=1529 owide=3_22222222_11111112 [43] fastclk is 5 times faster than clk *-* All Finished *-* - sub.v:45: Verilog $finish [43] clk=1 rstl=1 iquad=153a -> oquad=153b owide=3_22222222_11111112 -- COVERAGE ---------------- /build/verilator-4.010/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (8/20) 40.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[2]: Leaving directory '/build/verilator-4.010/examples/tracing_c' make[2]: Entering directory '/build/verilator-4.010/examples/tracing_sc' -- Verilator tracing example -- VERILATE ---------------- /build/verilator-4.010/bin/verilator -sc --exe -O2 -x-assign 0 -Wall --trace --assert --coverage -f input.vc top.v sc_main.cpp -- COMPILE ----------------= make -j 4 -C obj_dir -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/examples/tracing_sc' make[3]: warning: -j4 forced in submake: resetting jobserver mode. g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -O2 -fstrict-aliasing -c -o sc_main.o ../sc_main.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -O2 -fstrict-aliasing -c -o verilated.o /build/verilator-4.010/include/verilated.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -O2 -fstrict-aliasing -c -o verilated_cov.o /build/verilator-4.010/include/verilated_cov.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -O2 -fstrict-aliasing -c -o verilated_vcd_c.o /build/verilator-4.010/include/verilated_vcd_c.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -O2 -fstrict-aliasing -c -o verilated_vcd_sc.o /build/verilator-4.010/include/verilated_vcd_sc.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp > Vtop__ALLcls.cpp /usr/bin/perl /build/verilator-4.010/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop__Trace.cpp Vtop__Syms.cpp Vtop__Trace__Slow.cpp > Vtop__ALLsup.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -O2 -fstrict-aliasing -c -o Vtop__ALLcls.o Vtop__ALLcls.cpp g++ -g -O2 -ffile-prefix-map=/build/verilator-4.010=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -I. -MMD -I/build/verilator-4.010/include -I/build/verilator-4.010/include/vltstd -DVL_PRINTF=printf -DVM_COVERAGE=1 -DVM_SC=1 -DVM_TRACE=1 -faligned-new -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow -I/usr/include -MMD -MP -DVL_DEBUG=1 -Wno-deprecated -c -o Vtop__ALLsup.o Vtop__ALLsup.cpp Archiving Vtop__ALL.a ... ar r Vtop__ALL.a Vtop__ALLcls.o Vtop__ALLsup.o ar: creating Vtop__ALL.a ranlib Vtop__ALL.a g++ -Wl,-z,relro -L/usr/lib/i386-linux-gnu sc_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_vcd_sc.o Vtop__ALL.a -o Vtop -lm -lstdc++ -lsystemc make[3]: Leaving directory '/build/verilator-4.010/examples/tracing_sc/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace SystemC 2.3.3-Accellera --- Mar 10 2019 06:04:08 Copyright (c) 1996-2018 by all Contributors, ALL RIGHTS RESERVED [0] Model running... Enabling waves into logs/vlt_dump.vcd... [43] fastclk is 5 times faster than clk *-* All Finished *-* - sub.v:45: Verilog $finish -- COVERAGE ---------------- /build/verilator-4.010/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (6/20) 30.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[2]: Leaving directory '/build/verilator-4.010/examples/tracing_sc' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. make[1]: Leaving directory '/build/verilator-4.010' create-stamp debian/debhelper-build-stamp fakeroot debian/rules binary dh binary dh_testroot dh_prep dh_auto_install make -j10 install DESTDIR=/build/verilator-4.010/debian/verilator AM_UPDATE_INFO_DIR=no make[1]: Entering directory '/build/verilator-4.010' /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/bin pod2man bin/verilator verilator.1 ------------------------------------------------------------ pod2man bin/verilator_coverage verilator_coverage.1 pod2man bin/verilator_gantt verilator_gantt.1 pod2man bin/verilator_profcfunc verilator_profcfunc.1 /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/include/gtkwave making verilator in src make -C src Installed binaries to /build/verilator-4.010/debian/verilator/usr/bin/verilator Installed man to /build/verilator-4.010/debian/verilator/usr/share/man/man1 mkdir /build/verilator-4.010/debian/verilator/usr mkdir /build/verilator-4.010/debian/verilator/usr Installed examples to /build/verilator-4.010/debian/verilator/usr/share/verilator/examples mkdir /build/verilator-4.010/debian/verilator/usr/bin mkdir: cannot create directory '/build/verilator-4.010/debian/verilator/usr': File exists make[2]: Entering directory '/build/verilator-4.010/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial mkdir /build/verilator-4.010/debian/verilator/usr/share make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[3]: Entering directory '/build/verilator-4.010/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. ( cd ./bin ; /usr/bin/install -c verilator /build/verilator-4.010/debian/verilator/usr/bin/verilator ) For documentation see 'man verilator' or 'verilator --help' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator make[3]: Entering directory '/build/verilator-4.010/src' make[3]: warning: -j1 forced in submake: resetting jobserver mode. For forums and to report bugs see http://www.veripool.org/verilator mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/include mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/include/vltstd ( cd ./bin ; /usr/bin/install -c verilator_coverage /build/verilator-4.010/debian/verilator/usr/bin/verilator_coverage ) ( cd ./bin ; /usr/bin/install -c verilator_gantt /build/verilator-4.010/debian/verilator/usr/bin/verilator_gantt ) mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/include/vltstd ( cd ./bin ; /usr/bin/install -c verilator_profcfunc /build/verilator-4.010/debian/verilator/usr/bin/verilator_profcfunc ) for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.010/debian/verilator/usr/share/verilator/$p; \ done ( cd bin ; /usr/bin/install -c verilator_bin /build/verilator-4.010/debian/verilator/usr/bin/verilator_bin ) cd . \ ; for p in include/*.[chv]* include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.010/debian/verilator/usr/share/verilator/$p; \ done make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' make[3]: Nothing to be done for 'serial_vlcov'. make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.010/src/obj_opt' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[3]: Nothing to be done for 'serial'. make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Entering directory '/build/verilator-4.010/src/obj_opt' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -O2 -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Entering directory '/build/verilator-4.010/src/obj_dbg' Compile flags: g++ -Wdate-time -D_FORTIFY_SOURCE=2 -I/usr/include -MMD -I. -I.. -I.. -I../../include -I../../include -DYYDEBUG -ggdb -DVL_DEBUG -D_GLIBCXX_DEBUG -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="/usr/include" -DDEFENV_SYSTEMC_LIBDIR="/usr/lib/i386-linux-gnu" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make[3]: Leaving directory '/build/verilator-4.010/src/obj_dbg' make[3]: Leaving directory '/build/verilator-4.010/src/obj_opt' make[2]: Leaving directory '/build/verilator-4.010/src' /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/hello_world_c mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/examples mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/hello_world_c ( cd bin ; /usr/bin/install -c verilator_bin_dbg /build/verilator-4.010/debian/verilator/usr/bin/verilator_bin_dbg ) /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/hello_world_sc mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/hello_world_sc /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/tracing_c mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/tracing_c /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/tracing_sc mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/examples/tracing_sc cd . \ ; for p in examples/*/*.[chv]* examples/*/Makefile* ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.010/debian/verilator/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/pkgconfig mkdir /build/verilator-4.010/debian/verilator/usr/share/pkgconfig /usr/bin/install -c -m 644 verilator.pc /build/verilator-4.010/debian/verilator/usr/share/pkgconfig /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/man/man1 mkdir /build/verilator-4.010/debian/verilator/usr/share/man mkdir /build/verilator-4.010/debian/verilator/usr/share/man/man1 for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -c -m 644 $p /build/verilator-4.010/debian/verilator/usr/share/man/man1/$p; \ done ( cd bin ; /usr/bin/install -c verilator_coverage_bin_dbg /build/verilator-4.010/debian/verilator/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /build/verilator-4.010/debian/verilator/usr/share/verilator/bin mkdir /build/verilator-4.010/debian/verilator/usr/share/verilator/bin ( cd ./bin ; /usr/bin/install -c verilator_includer /build/verilator-4.010/debian/verilator/usr/share/verilator/bin/verilator_includer ) make[1]: Leaving directory '/build/verilator-4.010' dh_installdocs dh_installchangelogs debian/rules override_dh_installexamples make[1]: Entering directory '/build/verilator-4.010' mv debian/verilator/usr/share/verilator/examples debian/verilator/usr/share/doc/verilator/ make[1]: Leaving directory '/build/verilator-4.010' dh_installman dh_perl dh_link dh_strip_nondeterminism debian/rules override_dh_compress make[1]: Entering directory '/build/verilator-4.010' dh_compress -Xexamples make[1]: Leaving directory '/build/verilator-4.010' dh_fixperms dh_missing dh_dwz dh_strip dh_makeshlibs dh_shlibdeps dh_installdeb dh_gencontrol dpkg-gencontrol: warning: package verilator: substitution variable ${perl:Depends} unused, but is defined dpkg-gencontrol: warning: package verilator: substitution variable ${perl:Depends} unused, but is defined dh_md5sums dh_builddeb dpkg-deb: building package 'verilator' in '../verilator_4.010-1_i386.deb'. dpkg-deb: building package 'verilator-dbgsym' in '../verilator-dbgsym_4.010-1_i386.deb'. dpkg-genbuildinfo --build=binary dpkg-genchanges --build=binary >../verilator_4.010-1_i386.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/22312 and its subdirectories I: Current time: Mon Oct 26 23:43:45 -12 2020 I: pbuilder-time-stamp: 1603799025