W: cgroups are not available on the host, not using them. I: pbuilder: network access will be disabled during build I: Current time: Tue Apr 18 02:11:57 -12 2023 I: pbuilder-time-stamp: 1681827117 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: using eatmydata during job I: Copying source file I: copying [nextpnr_0.4-1.dsc] I: copying [./nextpnr_0.4.orig.tar.gz] I: copying [./nextpnr_0.4-1.debian.tar.xz] I: Extracting source gpgv: Signature made Thu Nov 17 08:36:34 2022 -12 gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./nextpnr_0.4-1.dsc: no acceptable signature found dpkg-source: info: extracting nextpnr in nextpnr-0.4 dpkg-source: info: unpacking nextpnr_0.4.orig.tar.gz dpkg-source: info: unpacking nextpnr_0.4-1.debian.tar.xz I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/25201/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='i386' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=8' DISTRIBUTION='bookworm' HOME='/root' HOST_ARCH='i386' IFS=' ' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' LD_LIBRARY_PATH='/usr/lib/libeatmydata' LD_PRELOAD='libeatmydata.so' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='25201' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.uWy46fqc/pbuilderrc_vZa6 --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.uWy46fqc/b1 --logfile b1/build.log nextpnr_0.4-1.dsc' SUDO_GID='112' SUDO_UID='107' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/sbin/chroot' http_proxy='http://78.137.99.97:3128' I: uname -a Linux ionos12-i386 5.10.0-21-686-pae #1 SMP Debian 5.10.162-1 (2023-01-21) i686 GNU/Linux I: ls -l /bin total 6036 -rwxr-xr-x 1 root root 1408088 Feb 12 08:21 bash -rwxr-xr-x 3 root root 38404 Sep 18 2022 bunzip2 -rwxr-xr-x 3 root root 38404 Sep 18 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 18 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 18 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 18 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 18 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 18 2022 bzgrep -rwxr-xr-x 3 root root 38404 Sep 18 2022 bzip2 -rwxr-xr-x 1 root root 17892 Sep 18 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 18 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 18 2022 bzmore -rwxr-xr-x 1 root root 42920 Sep 20 2022 cat -rwxr-xr-x 1 root root 79816 Sep 20 2022 chgrp -rwxr-xr-x 1 root root 67496 Sep 20 2022 chmod -rwxr-xr-x 1 root root 79816 Sep 20 2022 chown -rwxr-xr-x 1 root root 162024 Sep 20 2022 cp -rwxr-xr-x 1 root root 136916 Jan 5 01:20 dash -rwxr-xr-x 1 root root 137160 Sep 20 2022 date -rwxr-xr-x 1 root root 100364 Sep 20 2022 dd -rwxr-xr-x 1 root root 108940 Sep 20 2022 df -rwxr-xr-x 1 root root 162152 Sep 20 2022 dir -rwxr-xr-x 1 root root 87760 Mar 22 22:20 dmesg lrwxrwxrwx 1 root root 8 Dec 19 01:33 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 19 01:33 domainname -> hostname -rwxr-xr-x 1 root root 38760 Sep 20 2022 echo -rwxr-xr-x 1 root root 41 Jan 24 02:43 egrep -rwxr-xr-x 1 root root 34664 Sep 20 2022 false -rwxr-xr-x 1 root root 41 Jan 24 02:43 fgrep -rwxr-xr-x 1 root root 84272 Mar 22 22:20 findmnt -rwsr-xr-x 1 root root 30240 Mar 22 20:38 fusermount -rwxr-xr-x 1 root root 218680 Jan 24 02:43 grep -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe -rwxr-xr-x 1 root root 100952 Apr 9 2022 gzip -rwxr-xr-x 1 root root 21916 Dec 19 01:33 hostname -rwxr-xr-x 1 root root 75756 Sep 20 2022 ln -rwxr-xr-x 1 root root 55600 Mar 22 23:43 login -rwxr-xr-x 1 root root 162152 Sep 20 2022 ls -rwxr-xr-x 1 root root 214568 Mar 22 22:20 lsblk -rwxr-xr-x 1 root root 96328 Sep 20 2022 mkdir -rwxr-xr-x 1 root root 84008 Sep 20 2022 mknod -rwxr-xr-x 1 root root 38792 Sep 20 2022 mktemp -rwxr-xr-x 1 root root 63016 Mar 22 22:20 more -rwsr-xr-x 1 root root 58912 Mar 22 22:20 mount -rwxr-xr-x 1 root root 13856 Mar 22 22:20 mountpoint -rwxr-xr-x 1 root root 157932 Sep 20 2022 mv lrwxrwxrwx 1 root root 8 Dec 19 01:33 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 2 18:25 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 38792 Sep 20 2022 pwd lrwxrwxrwx 1 root root 4 Feb 12 08:21 rbash -> bash -rwxr-xr-x 1 root root 51080 Sep 20 2022 readlink -rwxr-xr-x 1 root root 75720 Sep 20 2022 rm -rwxr-xr-x 1 root root 51080 Sep 20 2022 rmdir -rwxr-xr-x 1 root root 22308 Nov 2 04:31 run-parts -rwxr-xr-x 1 root root 133224 Jan 5 07:55 sed lrwxrwxrwx 1 root root 4 Jan 5 01:20 sh -> dash -rwxr-xr-x 1 root root 38760 Sep 20 2022 sleep -rwxr-xr-x 1 root root 87976 Sep 20 2022 stty -rwsr-xr-x 1 root root 83492 Mar 22 22:20 su -rwxr-xr-x 1 root root 38792 Sep 20 2022 sync -rwxr-xr-x 1 root root 598456 Apr 6 02:25 tar -rwxr-xr-x 1 root root 13860 Nov 2 04:31 tempfile -rwxr-xr-x 1 root root 120776 Sep 20 2022 touch -rwxr-xr-x 1 root root 34664 Sep 20 2022 true -rwxr-xr-x 1 root root 17892 Mar 22 20:38 ulockmgr_server -rwsr-xr-x 1 root root 30236 Mar 22 22:20 umount -rwxr-xr-x 1 root root 38760 Sep 20 2022 uname -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress -rwxr-xr-x 1 root root 162152 Sep 20 2022 vdir -rwxr-xr-x 1 root root 71216 Mar 22 22:20 wdctl lrwxrwxrwx 1 root root 8 Dec 19 01:33 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 9 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 9 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew I: user script /srv/workspace/pbuilder/25201/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: i386 Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), cmake, qtbase5-dev, libboost-filesystem-dev, libboost-thread-dev, libboost-program-options-dev, libboost-python-dev, libboost-iostreams-dev, libqt5opengl5-dev, libeigen3-dev, python3-dev, fpga-icestorm-chipdb (>= 0~20180809git7e73288-2), help2man dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19604 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on cmake; however: Package cmake is not installed. pbuilder-satisfydepends-dummy depends on qtbase5-dev; however: Package qtbase5-dev is not installed. pbuilder-satisfydepends-dummy depends on libboost-filesystem-dev; however: Package libboost-filesystem-dev is not installed. pbuilder-satisfydepends-dummy depends on libboost-thread-dev; however: Package libboost-thread-dev is not installed. pbuilder-satisfydepends-dummy depends on libboost-program-options-dev; however: Package libboost-program-options-dev is not installed. pbuilder-satisfydepends-dummy depends on libboost-python-dev; however: Package libboost-python-dev is not installed. pbuilder-satisfydepends-dummy depends on libboost-iostreams-dev; however: Package libboost-iostreams-dev is not installed. pbuilder-satisfydepends-dummy depends on libqt5opengl5-dev; however: Package libqt5opengl5-dev is not installed. pbuilder-satisfydepends-dummy depends on libeigen3-dev; however: Package libeigen3-dev is not installed. pbuilder-satisfydepends-dummy depends on python3-dev; however: Package python3-dev is not installed. pbuilder-satisfydepends-dummy depends on fpga-icestorm-chipdb (>= 0~20180809git7e73288-2); however: Package fpga-icestorm-chipdb is not installed. pbuilder-satisfydepends-dummy depends on help2man; however: Package help2man is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} bsdextrautils{a} cmake{a} cmake-data{a} debhelper{a} dh-autoreconf{a} dh-strip-nondeterminism{a} dwz{a} file{a} fontconfig{a} fontconfig-config{a} fonts-dejavu-core{a} fpga-icestorm-chipdb{a} gettext{a} gettext-base{a} groff-base{a} help2man{a} icu-devtools{a} intltool-debian{a} libarchive-zip-perl{a} libarchive13{a} libavahi-client3{a} libavahi-common-data{a} libavahi-common3{a} libboost-atomic1.74-dev{a} libboost-atomic1.74.0{a} libboost-chrono1.74-dev{a} libboost-chrono1.74.0{a} libboost-date-time1.74-dev{a} libboost-date-time1.74.0{a} libboost-filesystem-dev{a} libboost-filesystem1.74-dev{a} libboost-filesystem1.74.0{a} libboost-iostreams-dev{a} libboost-iostreams1.74-dev{a} libboost-program-options-dev{a} libboost-program-options1.74-dev{a} libboost-program-options1.74.0{a} libboost-python-dev{a} libboost-python1.74-dev{a} libboost-python1.74.0{a} libboost-regex1.74-dev{a} libboost-regex1.74.0{a} libboost-serialization1.74-dev{a} libboost-serialization1.74.0{a} libboost-system1.74-dev{a} libboost-system1.74.0{a} libboost-thread-dev{a} libboost-thread1.74-dev{a} libboost-thread1.74.0{a} libboost1.74-dev{a} libbrotli1{a} libbsd0{a} libcups2{a} libcurl4{a} libdbus-1-3{a} libdebhelper-perl{a} libdouble-conversion3{a} libdrm-amdgpu1{a} libdrm-common{a} libdrm-intel1{a} libdrm-nouveau2{a} libdrm-radeon1{a} libdrm2{a} libedit2{a} libegl-dev{a} libegl-mesa0{a} libegl1{a} libeigen3-dev{a} libelf1{a} libevdev2{a} libexpat1{a} libexpat1-dev{a} libfile-stripnondeterminism-perl{a} libfontconfig1{a} libfreetype6{a} libgbm1{a} libgl-dev{a} libgl1{a} libgl1-mesa-dri{a} libglapi-mesa{a} libglib2.0-0{a} libglu1-mesa{a} libglu1-mesa-dev{a} libglvnd0{a} libglx-dev{a} libglx-mesa0{a} libglx0{a} libgraphite2-3{a} libgudev-1.0-0{a} libharfbuzz0b{a} libice6{a} libicu-dev{a} libicu72{a} libinput-bin{a} libinput10{a} libjpeg62-turbo{a} libjs-jquery{a} libjs-sphinxdoc{a} libjs-underscore{a} libjsoncpp25{a} libldap-2.5-0{a} libllvm15{a} liblocale-gettext-perl{a} libmagic-mgc{a} libmagic1{a} libmd4c0{a} libmtdev1{a} libnghttp2-14{a} libopengl-dev{a} libopengl0{a} libpciaccess0{a} libpcre2-16-0{a} libpipeline1{a} libpkgconf3{a} libpng16-16{a} libproc2-0{a} libpsl5{a} libpthread-stubs0-dev{a} libpython3-dev{a} libpython3-stdlib{a} libpython3.11{a} libpython3.11-dev{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} libqt5concurrent5{a} libqt5core5a{a} libqt5dbus5{a} libqt5gui5{a} libqt5network5{a} libqt5opengl5{a} libqt5opengl5-dev{a} libqt5printsupport5{a} libqt5sql5{a} libqt5test5{a} libqt5widgets5{a} libqt5xml5{a} libreadline8{a} librhash0{a} librtmp1{a} libsasl2-2{a} libsasl2-modules-db{a} libsensors-config{a} libsensors5{a} libsm6{a} libssh2-1{a} libsub-override-perl{a} libtool{a} libuchardet0{a} libuv1{a} libvulkan-dev{a} libvulkan1{a} libwacom-common{a} libwacom9{a} libwayland-client0{a} libwayland-server0{a} libx11-6{a} libx11-data{a} libx11-dev{a} libx11-xcb1{a} libxau-dev{a} libxau6{a} libxcb-dri2-0{a} libxcb-dri3-0{a} libxcb-glx0{a} libxcb-icccm4{a} libxcb-image0{a} libxcb-keysyms1{a} libxcb-present0{a} libxcb-randr0{a} libxcb-render-util0{a} libxcb-render0{a} libxcb-shape0{a} libxcb-shm0{a} libxcb-sync1{a} libxcb-util1{a} libxcb-xfixes0{a} libxcb-xinerama0{a} libxcb-xinput0{a} libxcb-xkb1{a} libxcb1{a} libxcb1-dev{a} libxdmcp-dev{a} libxdmcp6{a} libxext-dev{a} libxext6{a} libxfixes3{a} libxkbcommon-x11-0{a} libxkbcommon0{a} libxml2{a} libxrender1{a} libxshmfence1{a} libxxf86vm1{a} libz3-4{a} m4{a} man-db{a} media-types{a} pkg-config{a} pkgconf{a} pkgconf-bin{a} po-debconf{a} procps{a} python3{a} python3-dev{a} python3-distutils{a} python3-lib2to3{a} python3-minimal{a} python3.11{a} python3.11-dev{a} python3.11-minimal{a} qt5-qmake{a} qt5-qmake-bin{a} qtbase5-dev{a} qtbase5-dev-tools{a} qtchooser{a} readline-common{a} sensible-utils{a} shared-mime-info{a} x11-common{a} x11proto-dev{a} xkb-data{a} xorg-sgml-doctools{a} xtrans-dev{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: ca-certificates curl dbus javascript-common libarchive-cpio-perl libglib2.0-data libldap-common libltdl-dev libmail-sendmail-perl libqt5sql5-ibase libqt5sql5-mysql libqt5sql5-odbc libqt5sql5-psql libqt5sql5-sqlite libqt5sql5-tds libqt5svg5 libsasl2-modules lynx mesa-vulkan-drivers psmisc publicsuffix qt5-gtk-platformtheme qttranslations5-l10n qtwayland5 wget xdg-user-dirs 0 packages upgraded, 227 newly installed, 0 to remove and 0 not upgraded. Need to get 161 MB of archives. After unpacking 873 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main i386 liblocale-gettext-perl i386 1.07-5 [15.5 kB] Get: 2 http://deb.debian.org/debian bookworm/main i386 libpython3.11-minimal i386 3.11.2-6 [813 kB] Get: 3 http://deb.debian.org/debian bookworm/main i386 libexpat1 i386 2.5.0-1 [103 kB] Get: 4 http://deb.debian.org/debian bookworm/main i386 python3.11-minimal i386 3.11.2-6 [2130 kB] Get: 5 http://deb.debian.org/debian bookworm/main i386 python3-minimal i386 3.11.2-1+b1 [26.3 kB] Get: 6 http://deb.debian.org/debian bookworm/main i386 media-types all 10.0.0 [26.1 kB] Get: 7 http://deb.debian.org/debian bookworm/main i386 readline-common all 8.2-1.3 [69.0 kB] Get: 8 http://deb.debian.org/debian bookworm/main i386 libreadline8 i386 8.2-1.3 [171 kB] Get: 9 http://deb.debian.org/debian bookworm/main i386 libpython3.11-stdlib i386 3.11.2-6 [1799 kB] Get: 10 http://deb.debian.org/debian bookworm/main i386 python3.11 i386 3.11.2-6 [572 kB] Get: 11 http://deb.debian.org/debian bookworm/main i386 libpython3-stdlib i386 3.11.2-1+b1 [9308 B] Get: 12 http://deb.debian.org/debian bookworm/main i386 python3 i386 3.11.2-1+b1 [26.3 kB] Get: 13 http://deb.debian.org/debian bookworm/main i386 libproc2-0 i386 2:4.0.2-3 [63.7 kB] Get: 14 http://deb.debian.org/debian bookworm/main i386 procps i386 2:4.0.2-3 [706 kB] Get: 15 http://deb.debian.org/debian bookworm/main i386 sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 16 http://deb.debian.org/debian bookworm/main i386 libmagic-mgc i386 1:5.44-3 [305 kB] Get: 17 http://deb.debian.org/debian bookworm/main i386 libmagic1 i386 1:5.44-3 [114 kB] Get: 18 http://deb.debian.org/debian bookworm/main i386 file i386 1:5.44-3 [42.5 kB] Get: 19 http://deb.debian.org/debian bookworm/main i386 gettext-base i386 0.21-12 [162 kB] Get: 20 http://deb.debian.org/debian bookworm/main i386 libuchardet0 i386 0.0.7-1 [67.9 kB] Get: 21 http://deb.debian.org/debian bookworm/main i386 groff-base i386 1.22.4-10 [932 kB] Get: 22 http://deb.debian.org/debian bookworm/main i386 bsdextrautils i386 2.38.1-5+b1 [90.3 kB] Get: 23 http://deb.debian.org/debian bookworm/main i386 libpipeline1 i386 1.5.7-1 [40.0 kB] Get: 24 http://deb.debian.org/debian bookworm/main i386 man-db i386 2.11.2-2 [1397 kB] Get: 25 http://deb.debian.org/debian bookworm/main i386 m4 i386 1.4.19-3 [294 kB] Get: 26 http://deb.debian.org/debian bookworm/main i386 autoconf all 2.71-3 [332 kB] Get: 27 http://deb.debian.org/debian bookworm/main i386 autotools-dev all 20220109.1 [51.6 kB] Get: 28 http://deb.debian.org/debian bookworm/main i386 automake all 1:1.16.5-1.3 [823 kB] Get: 29 http://deb.debian.org/debian bookworm/main i386 autopoint all 0.21-12 [495 kB] Get: 30 http://deb.debian.org/debian bookworm/main i386 libicu72 i386 72.1-3 [9541 kB] Get: 31 http://deb.debian.org/debian bookworm/main i386 libxml2 i386 2.9.14+dfsg-1.1+b3 [720 kB] Get: 32 http://deb.debian.org/debian bookworm/main i386 libarchive13 i386 3.6.2-1 [385 kB] Get: 33 http://deb.debian.org/debian bookworm/main i386 libbrotli1 i386 1.0.9-2+b6 [275 kB] Get: 34 http://deb.debian.org/debian bookworm/main i386 libsasl2-modules-db i386 2.1.28+dfsg-10 [21.4 kB] Get: 35 http://deb.debian.org/debian bookworm/main i386 libsasl2-2 i386 2.1.28+dfsg-10 [62.7 kB] Get: 36 http://deb.debian.org/debian bookworm/main i386 libldap-2.5-0 i386 2.5.13+dfsg-5 [196 kB] Get: 37 http://deb.debian.org/debian bookworm/main i386 libnghttp2-14 i386 1.52.0-1 [79.8 kB] Get: 38 http://deb.debian.org/debian bookworm/main i386 libpsl5 i386 0.21.2-1 [59.3 kB] Get: 39 http://deb.debian.org/debian bookworm/main i386 librtmp1 i386 2.4+20151223.gitfa8646d.1-2+b2 [64.3 kB] Get: 40 http://deb.debian.org/debian bookworm/main i386 libssh2-1 i386 1.10.0-3+b1 [187 kB] Get: 41 http://deb.debian.org/debian bookworm/main i386 libcurl4 i386 7.88.1-8 [419 kB] Get: 42 http://deb.debian.org/debian bookworm/main i386 libjsoncpp25 i386 1.9.5-4 [86.2 kB] Get: 43 http://deb.debian.org/debian bookworm/main i386 librhash0 i386 1.4.3-3 [149 kB] Get: 44 http://deb.debian.org/debian bookworm/main i386 libuv1 i386 1.44.2-1 [147 kB] Get: 45 http://deb.debian.org/debian bookworm/main i386 cmake-data all 3.25.1-1 [2026 kB] Get: 46 http://deb.debian.org/debian bookworm/main i386 cmake i386 3.25.1-1 [9767 kB] Get: 47 http://deb.debian.org/debian bookworm/main i386 libdebhelper-perl all 13.11.4 [81.2 kB] Get: 48 http://deb.debian.org/debian bookworm/main i386 libtool all 2.4.7-5 [517 kB] Get: 49 http://deb.debian.org/debian bookworm/main i386 dh-autoreconf all 20 [17.1 kB] Get: 50 http://deb.debian.org/debian bookworm/main i386 libarchive-zip-perl all 1.68-1 [104 kB] Get: 51 http://deb.debian.org/debian bookworm/main i386 libsub-override-perl all 0.09-4 [9304 B] Get: 52 http://deb.debian.org/debian bookworm/main i386 libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 53 http://deb.debian.org/debian bookworm/main i386 dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 54 http://deb.debian.org/debian bookworm/main i386 libelf1 i386 0.188-2.1 [179 kB] Get: 55 http://deb.debian.org/debian bookworm/main i386 dwz i386 0.15-1 [118 kB] Get: 56 http://deb.debian.org/debian bookworm/main i386 gettext i386 0.21-12 [1311 kB] Get: 57 http://deb.debian.org/debian bookworm/main i386 intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 58 http://deb.debian.org/debian bookworm/main i386 po-debconf all 1.0.21+nmu1 [248 kB] Get: 59 http://deb.debian.org/debian bookworm/main i386 debhelper all 13.11.4 [942 kB] Get: 60 http://deb.debian.org/debian bookworm/main i386 libpng16-16 i386 1.6.39-2 [283 kB] Get: 61 http://deb.debian.org/debian bookworm/main i386 libfreetype6 i386 2.12.1+dfsg-4 [410 kB] Get: 62 http://deb.debian.org/debian bookworm/main i386 fonts-dejavu-core all 2.37-6 [1068 kB] Get: 63 http://deb.debian.org/debian bookworm/main i386 fontconfig-config i386 2.14.1-4 [315 kB] Get: 64 http://deb.debian.org/debian bookworm/main i386 libfontconfig1 i386 2.14.1-4 [398 kB] Get: 65 http://deb.debian.org/debian bookworm/main i386 fontconfig i386 2.14.1-4 [450 kB] Get: 66 http://deb.debian.org/debian bookworm/main i386 fpga-icestorm-chipdb all 0~20220915gita545498-3 [8260 kB] Get: 67 http://deb.debian.org/debian bookworm/main i386 help2man i386 1.49.3 [198 kB] Get: 68 http://deb.debian.org/debian bookworm/main i386 icu-devtools i386 72.1-3 [214 kB] Get: 69 http://deb.debian.org/debian bookworm/main i386 libavahi-common-data i386 0.8-9 [107 kB] Get: 70 http://deb.debian.org/debian bookworm/main i386 libavahi-common3 i386 0.8-9 [43.3 kB] Get: 71 http://deb.debian.org/debian bookworm/main i386 libdbus-1-3 i386 1.14.6-1 [213 kB] Get: 72 http://deb.debian.org/debian bookworm/main i386 libavahi-client3 i386 0.8-9 [47.3 kB] Get: 73 http://deb.debian.org/debian bookworm/main i386 libboost1.74-dev i386 1.74.0+ds1-20 [9510 kB] Get: 74 http://deb.debian.org/debian bookworm/main i386 libboost-atomic1.74.0 i386 1.74.0+ds1-20 [220 kB] Get: 75 http://deb.debian.org/debian bookworm/main i386 libboost-atomic1.74-dev i386 1.74.0+ds1-20 [221 kB] Get: 76 http://deb.debian.org/debian bookworm/main i386 libboost-chrono1.74.0 i386 1.74.0+ds1-20 [229 kB] Get: 77 http://deb.debian.org/debian bookworm/main i386 libboost-chrono1.74-dev i386 1.74.0+ds1-20 [237 kB] Get: 78 http://deb.debian.org/debian bookworm/main i386 libboost-date-time1.74.0 i386 1.74.0+ds1-20 [217 kB] Get: 79 http://deb.debian.org/debian bookworm/main i386 libboost-serialization1.74.0 i386 1.74.0+ds1-20 [325 kB] Get: 80 http://deb.debian.org/debian bookworm/main i386 libboost-serialization1.74-dev i386 1.74.0+ds1-20 [368 kB] Get: 81 http://deb.debian.org/debian bookworm/main i386 libboost-date-time1.74-dev i386 1.74.0+ds1-20 [226 kB] Get: 82 http://deb.debian.org/debian bookworm/main i386 libboost-filesystem1.74.0 i386 1.74.0+ds1-20 [264 kB] Get: 83 http://deb.debian.org/debian bookworm/main i386 libboost-system1.74.0 i386 1.74.0+ds1-20 [218 kB] Get: 84 http://deb.debian.org/debian bookworm/main i386 libboost-system1.74-dev i386 1.74.0+ds1-20 [219 kB] Get: 85 http://deb.debian.org/debian bookworm/main i386 libboost-filesystem1.74-dev i386 1.74.0+ds1-20 [286 kB] Get: 86 http://deb.debian.org/debian bookworm/main i386 libboost-filesystem-dev i386 1.74.0.3 [4368 B] Get: 87 http://deb.debian.org/debian bookworm/main i386 libboost-regex1.74.0 i386 1.74.0+ds1-20 [509 kB] Get: 88 http://deb.debian.org/debian bookworm/main i386 libicu-dev i386 72.1-3 [10.6 MB] Get: 89 http://deb.debian.org/debian bookworm/main i386 libboost-regex1.74-dev i386 1.74.0+ds1-20 [585 kB] Get: 90 http://deb.debian.org/debian bookworm/main i386 libboost-iostreams1.74-dev i386 1.74.0+ds1-20 [250 kB] Get: 91 http://deb.debian.org/debian bookworm/main i386 libboost-iostreams-dev i386 1.74.0.3 [4320 B] Get: 92 http://deb.debian.org/debian bookworm/main i386 libboost-program-options1.74.0 i386 1.74.0+ds1-20 [338 kB] Get: 93 http://deb.debian.org/debian bookworm/main i386 libboost-program-options1.74-dev i386 1.74.0+ds1-20 [368 kB] Get: 94 http://deb.debian.org/debian bookworm/main i386 libboost-program-options-dev i386 1.74.0.3 [4344 B] Get: 95 http://deb.debian.org/debian bookworm/main i386 libboost-python1.74.0 i386 1.74.0+ds1-20 [295 kB] Get: 96 http://deb.debian.org/debian bookworm/main i386 libpython3.11 i386 3.11.2-6 [2013 kB] Get: 97 http://deb.debian.org/debian bookworm/main i386 libexpat1-dev i386 2.5.0-1 [158 kB] Get: 98 http://deb.debian.org/debian bookworm/main i386 zlib1g-dev i386 1:1.2.13.dfsg-1 [913 kB] Get: 99 http://deb.debian.org/debian bookworm/main i386 libpython3.11-dev i386 3.11.2-6 [4906 kB] Get: 100 http://deb.debian.org/debian bookworm/main i386 libpython3-dev i386 3.11.2-1+b1 [9580 B] Get: 101 http://deb.debian.org/debian bookworm/main i386 python3.11-dev i386 3.11.2-6 [615 kB] Get: 102 http://deb.debian.org/debian bookworm/main i386 python3-lib2to3 all 3.11.2-2 [76.2 kB] Get: 103 http://deb.debian.org/debian bookworm/main i386 python3-distutils all 3.11.2-2 [131 kB] Get: 104 http://deb.debian.org/debian bookworm/main i386 libjs-jquery all 3.6.1+dfsg+~3.5.14-1 [326 kB] Get: 105 http://deb.debian.org/debian bookworm/main i386 libjs-underscore all 1.13.4~dfsg+~1.11.4-3 [116 kB] Get: 106 http://deb.debian.org/debian bookworm/main i386 libjs-sphinxdoc all 5.3.0-4 [130 kB] Get: 107 http://deb.debian.org/debian bookworm/main i386 python3-dev i386 3.11.2-1+b1 [26.2 kB] Get: 108 http://deb.debian.org/debian bookworm/main i386 libboost-python1.74-dev i386 1.74.0+ds1-20 [318 kB] Get: 109 http://deb.debian.org/debian bookworm/main i386 libboost-python-dev i386 1.74.0.3 [4632 B] Get: 110 http://deb.debian.org/debian bookworm/main i386 libboost-thread1.74.0 i386 1.74.0+ds1-20 [259 kB] Get: 111 http://deb.debian.org/debian bookworm/main i386 libboost-thread1.74-dev i386 1.74.0+ds1-20 [270 kB] Get: 112 http://deb.debian.org/debian bookworm/main i386 libboost-thread-dev i386 1.74.0.3 [4356 B] Get: 113 http://deb.debian.org/debian bookworm/main i386 libbsd0 i386 0.11.7-2 [121 kB] Get: 114 http://deb.debian.org/debian bookworm/main i386 libcups2 i386 2.4.2-3 [261 kB] Get: 115 http://deb.debian.org/debian bookworm/main i386 libdouble-conversion3 i386 3.2.1-1 [45.7 kB] Get: 116 http://deb.debian.org/debian bookworm/main i386 libdrm-common all 2.4.114-1 [7112 B] Get: 117 http://deb.debian.org/debian bookworm/main i386 libdrm2 i386 2.4.114-1+b1 [40.8 kB] Get: 118 http://deb.debian.org/debian bookworm/main i386 libdrm-amdgpu1 i386 2.4.114-1+b1 [24.1 kB] Get: 119 http://deb.debian.org/debian bookworm/main i386 libpciaccess0 i386 0.17-2 [53.4 kB] Get: 120 http://deb.debian.org/debian bookworm/main i386 libdrm-intel1 i386 2.4.114-1+b1 [67.9 kB] Get: 121 http://deb.debian.org/debian bookworm/main i386 libdrm-nouveau2 i386 2.4.114-1+b1 [20.7 kB] Get: 122 http://deb.debian.org/debian bookworm/main i386 libdrm-radeon1 i386 2.4.114-1+b1 [22.8 kB] Get: 123 http://deb.debian.org/debian bookworm/main i386 libedit2 i386 3.1-20221030-2 [97.2 kB] Get: 124 http://deb.debian.org/debian bookworm/main i386 libglvnd0 i386 1.6.0-1 [42.7 kB] Get: 125 http://deb.debian.org/debian bookworm/main i386 libwayland-server0 i386 1.21.0-1 [37.4 kB] Get: 126 http://deb.debian.org/debian bookworm/main i386 libgbm1 i386 22.3.6-1+deb12u1 [39.2 kB] Get: 127 http://deb.debian.org/debian bookworm/main i386 libglapi-mesa i386 22.3.6-1+deb12u1 [35.6 kB] Get: 128 http://deb.debian.org/debian bookworm/main i386 libwayland-client0 i386 1.21.0-1 [29.0 kB] Get: 129 http://deb.debian.org/debian bookworm/main i386 libxau6 i386 1:1.0.9-1 [20.0 kB] Get: 130 http://deb.debian.org/debian bookworm/main i386 libxdmcp6 i386 1:1.1.2-3 [26.7 kB] Get: 131 http://deb.debian.org/debian bookworm/main i386 libxcb1 i386 1.15-1 [148 kB] Get: 132 http://deb.debian.org/debian bookworm/main i386 libx11-data all 2:1.8.4-2 [292 kB] Get: 133 http://deb.debian.org/debian bookworm/main i386 libx11-6 i386 2:1.8.4-2 [782 kB] Get: 134 http://deb.debian.org/debian bookworm/main i386 libx11-xcb1 i386 2:1.8.4-2 [192 kB] Get: 135 http://deb.debian.org/debian bookworm/main i386 libxcb-dri2-0 i386 1.15-1 [107 kB] Get: 136 http://deb.debian.org/debian bookworm/main i386 libxcb-dri3-0 i386 1.15-1 [107 kB] Get: 137 http://deb.debian.org/debian bookworm/main i386 libxcb-present0 i386 1.15-1 [106 kB] Get: 138 http://deb.debian.org/debian bookworm/main i386 libxcb-randr0 i386 1.15-1 [118 kB] Get: 139 http://deb.debian.org/debian bookworm/main i386 libxcb-sync1 i386 1.15-1 [109 kB] Get: 140 http://deb.debian.org/debian bookworm/main i386 libxcb-xfixes0 i386 1.15-1 [110 kB] Get: 141 http://deb.debian.org/debian bookworm/main i386 libxshmfence1 i386 1.3-1 [8976 B] Get: 142 http://deb.debian.org/debian bookworm/main i386 libegl-mesa0 i386 22.3.6-1+deb12u1 [124 kB] Get: 143 http://deb.debian.org/debian bookworm/main i386 libegl1 i386 1.6.0-1 [35.6 kB] Get: 144 http://deb.debian.org/debian bookworm/main i386 libxcb-glx0 i386 1.15-1 [124 kB] Get: 145 http://deb.debian.org/debian bookworm/main i386 libxcb-shm0 i386 1.15-1 [106 kB] Get: 146 http://deb.debian.org/debian bookworm/main i386 libxext6 i386 2:1.3.4-1+b1 [55.3 kB] Get: 147 http://deb.debian.org/debian bookworm/main i386 libxfixes3 i386 1:6.0.0-2 [23.0 kB] Get: 148 http://deb.debian.org/debian bookworm/main i386 libxxf86vm1 i386 1:1.1.4-1+b2 [21.7 kB] Get: 149 http://deb.debian.org/debian bookworm/main i386 libz3-4 i386 4.8.12-3.1 [7853 kB] Get: 150 http://deb.debian.org/debian bookworm/main i386 libllvm15 i386 1:15.0.6-4+b1 [26.5 MB] Get: 151 http://deb.debian.org/debian bookworm/main i386 libsensors-config all 1:3.6.0-7.1 [14.3 kB] Get: 152 http://deb.debian.org/debian bookworm/main i386 libsensors5 i386 1:3.6.0-7.1 [35.1 kB] Get: 153 http://deb.debian.org/debian bookworm/main i386 libgl1-mesa-dri i386 22.3.6-1+deb12u1 [7417 kB] Get: 154 http://deb.debian.org/debian bookworm/main i386 libglx-mesa0 i386 22.3.6-1+deb12u1 [156 kB] Get: 155 http://deb.debian.org/debian bookworm/main i386 libglx0 i386 1.6.0-1 [36.6 kB] Get: 156 http://deb.debian.org/debian bookworm/main i386 libgl1 i386 1.6.0-1 [82.1 kB] Get: 157 http://deb.debian.org/debian bookworm/main i386 xorg-sgml-doctools all 1:1.11-1.1 [22.1 kB] Get: 158 http://deb.debian.org/debian bookworm/main i386 x11proto-dev all 2022.1-1 [599 kB] Get: 159 http://deb.debian.org/debian bookworm/main i386 libxau-dev i386 1:1.0.9-1 [23.3 kB] Get: 160 http://deb.debian.org/debian bookworm/main i386 libxdmcp-dev i386 1:1.1.2-3 [42.7 kB] Get: 161 http://deb.debian.org/debian bookworm/main i386 xtrans-dev all 1.4.0-1 [98.7 kB] Get: 162 http://deb.debian.org/debian bookworm/main i386 libpthread-stubs0-dev i386 0.4-1 [5340 B] Get: 163 http://deb.debian.org/debian bookworm/main i386 libxcb1-dev i386 1.15-1 [185 kB] Get: 164 http://deb.debian.org/debian bookworm/main i386 libx11-dev i386 2:1.8.4-2 [866 kB] Get: 165 http://deb.debian.org/debian bookworm/main i386 libglx-dev i386 1.6.0-1 [15.3 kB] Get: 166 http://deb.debian.org/debian bookworm/main i386 libgl-dev i386 1.6.0-1 [100 kB] Get: 167 http://deb.debian.org/debian bookworm/main i386 libegl-dev i386 1.6.0-1 [19.1 kB] Get: 168 http://deb.debian.org/debian bookworm/main i386 libpkgconf3 i386 1.8.1-1 [38.3 kB] Get: 169 http://deb.debian.org/debian bookworm/main i386 pkgconf-bin i386 1.8.1-1 [29.8 kB] Get: 170 http://deb.debian.org/debian bookworm/main i386 pkgconf i386 1.8.1-1 [25.9 kB] Get: 171 http://deb.debian.org/debian bookworm/main i386 pkg-config i386 1.8.1-1 [13.7 kB] Get: 172 http://deb.debian.org/debian bookworm/main i386 libeigen3-dev all 3.4.0-4 [1041 kB] Get: 173 http://deb.debian.org/debian bookworm/main i386 libevdev2 i386 1.13.0+dfsg-1 [32.4 kB] Get: 174 http://deb.debian.org/debian bookworm/main i386 libglib2.0-0 i386 2.74.6-2 [1467 kB] Get: 175 http://deb.debian.org/debian bookworm/main i386 libopengl0 i386 1.6.0-1 [29.2 kB] Get: 176 http://deb.debian.org/debian bookworm/main i386 libglu1-mesa i386 9.0.2-1.1 [186 kB] Get: 177 http://deb.debian.org/debian bookworm/main i386 libopengl-dev i386 1.6.0-1 [4924 B] Get: 178 http://deb.debian.org/debian bookworm/main i386 libglu1-mesa-dev i386 9.0.2-1.1 [230 kB] Get: 179 http://deb.debian.org/debian bookworm/main i386 libgraphite2-3 i386 1.3.14-1 [84.0 kB] Get: 180 http://deb.debian.org/debian bookworm/main i386 libgudev-1.0-0 i386 237-2 [15.7 kB] Get: 181 http://deb.debian.org/debian bookworm/main i386 libharfbuzz0b i386 6.0.0+dfsg-3 [1966 kB] Get: 182 http://deb.debian.org/debian bookworm/main i386 x11-common all 1:7.7+23 [252 kB] Get: 183 http://deb.debian.org/debian bookworm/main i386 libice6 i386 2:1.0.10-1 [60.8 kB] Get: 184 http://deb.debian.org/debian bookworm/main i386 libwacom-common all 2.6.0-1 [58.4 kB] Get: 185 http://deb.debian.org/debian bookworm/main i386 libwacom9 i386 2.6.0-1 [23.1 kB] Get: 186 http://deb.debian.org/debian bookworm/main i386 libinput-bin i386 1.22.1-1 [23.5 kB] Get: 187 http://deb.debian.org/debian bookworm/main i386 libmtdev1 i386 1.1.6-1 [23.5 kB] Get: 188 http://deb.debian.org/debian bookworm/main i386 libinput10 i386 1.22.1-1 [140 kB] Get: 189 http://deb.debian.org/debian bookworm/main i386 libjpeg62-turbo i386 1:2.1.5-2 [169 kB] Get: 190 http://deb.debian.org/debian bookworm/main i386 libmd4c0 i386 0.4.8-1 [44.9 kB] Get: 191 http://deb.debian.org/debian bookworm/main i386 libpcre2-16-0 i386 10.42-1 [243 kB] Get: 192 http://deb.debian.org/debian bookworm/main i386 shared-mime-info i386 2.2-1 [730 kB] Get: 193 http://deb.debian.org/debian bookworm/main i386 libqt5core5a i386 5.15.8+dfsg-3 [2654 kB] Get: 194 http://deb.debian.org/debian bookworm/main i386 libqt5concurrent5 i386 5.15.8+dfsg-3 [42.5 kB] Get: 195 http://deb.debian.org/debian bookworm/main i386 libqt5dbus5 i386 5.15.8+dfsg-3 [234 kB] Get: 196 http://deb.debian.org/debian bookworm/main i386 libqt5network5 i386 5.15.8+dfsg-3 [764 kB] Get: 197 http://deb.debian.org/debian bookworm/main i386 libsm6 i386 2:1.2.3-1 [35.7 kB] Get: 198 http://deb.debian.org/debian bookworm/main i386 libxcb-icccm4 i386 0.4.1-1.1 [28.1 kB] Get: 199 http://deb.debian.org/debian bookworm/main i386 libxcb-util1 i386 0.4.0-1+b1 [23.6 kB] Get: 200 http://deb.debian.org/debian bookworm/main i386 libxcb-image0 i386 0.4.0-2 [23.4 kB] Get: 201 http://deb.debian.org/debian bookworm/main i386 libxcb-keysyms1 i386 0.4.0-1+b2 [16.7 kB] Get: 202 http://deb.debian.org/debian bookworm/main i386 libxcb-render0 i386 1.15-1 [116 kB] Get: 203 http://deb.debian.org/debian bookworm/main i386 libxcb-render-util0 i386 0.3.9-1+b1 [18.7 kB] Get: 204 http://deb.debian.org/debian bookworm/main i386 libxcb-shape0 i386 1.15-1 [106 kB] Get: 205 http://deb.debian.org/debian bookworm/main i386 libxcb-xinerama0 i386 1.15-1 [105 kB] Get: 206 http://deb.debian.org/debian bookworm/main i386 libxcb-xinput0 i386 1.15-1 [134 kB] Get: 207 http://deb.debian.org/debian bookworm/main i386 libxcb-xkb1 i386 1.15-1 [131 kB] Get: 208 http://deb.debian.org/debian bookworm/main i386 xkb-data all 2.35.1-1 [764 kB] Get: 209 http://deb.debian.org/debian bookworm/main i386 libxkbcommon0 i386 1.5.0-1 [112 kB] Get: 210 http://deb.debian.org/debian bookworm/main i386 libxkbcommon-x11-0 i386 1.5.0-1 [16.5 kB] Get: 211 http://deb.debian.org/debian bookworm/main i386 libxrender1 i386 1:0.9.10-1.1 [34.1 kB] Get: 212 http://deb.debian.org/debian bookworm/main i386 libqt5gui5 i386 5.15.8+dfsg-3 [5894 kB] Get: 213 http://deb.debian.org/debian bookworm/main i386 libqt5widgets5 i386 5.15.8+dfsg-3 [2659 kB] Get: 214 http://deb.debian.org/debian bookworm/main i386 libqt5opengl5 i386 5.15.8+dfsg-3 [161 kB] Get: 215 http://deb.debian.org/debian bookworm/main i386 libqt5printsupport5 i386 5.15.8+dfsg-3 [218 kB] Get: 216 http://deb.debian.org/debian bookworm/main i386 libqt5sql5 i386 5.15.8+dfsg-3 [134 kB] Get: 217 http://deb.debian.org/debian bookworm/main i386 libqt5test5 i386 5.15.8+dfsg-3 [152 kB] Get: 218 http://deb.debian.org/debian bookworm/main i386 libqt5xml5 i386 5.15.8+dfsg-3 [134 kB] Get: 219 http://deb.debian.org/debian bookworm/main i386 libvulkan1 i386 1.3.239.0-1 [125 kB] Get: 220 http://deb.debian.org/debian bookworm/main i386 libvulkan-dev i386 1.3.239.0-1 [1115 kB] Get: 221 http://deb.debian.org/debian bookworm/main i386 libxext-dev i386 2:1.3.4-1+b1 [110 kB] Get: 222 http://deb.debian.org/debian bookworm/main i386 qt5-qmake-bin i386 5.15.8+dfsg-3 [1107 kB] Get: 223 http://deb.debian.org/debian bookworm/main i386 qtchooser i386 66-2 [27.8 kB] Get: 224 http://deb.debian.org/debian bookworm/main i386 qt5-qmake i386 5.15.8+dfsg-3 [221 kB] Get: 225 http://deb.debian.org/debian bookworm/main i386 qtbase5-dev-tools i386 5.15.8+dfsg-3 [845 kB] Get: 226 http://deb.debian.org/debian bookworm/main i386 qtbase5-dev i386 5.15.8+dfsg-3 [1122 kB] Get: 227 http://deb.debian.org/debian bookworm/main i386 libqt5opengl5-dev i386 5.15.8+dfsg-3 [48.6 kB] Fetched 161 MB in 4s (44.9 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package liblocale-gettext-perl. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19604 files and directories currently installed.) Preparing to unpack .../liblocale-gettext-perl_1.07-5_i386.deb ... Unpacking liblocale-gettext-perl (1.07-5) ... Selecting previously unselected package libpython3.11-minimal:i386. Preparing to unpack .../libpython3.11-minimal_3.11.2-6_i386.deb ... Unpacking libpython3.11-minimal:i386 (3.11.2-6) ... Selecting previously unselected package libexpat1:i386. Preparing to unpack .../libexpat1_2.5.0-1_i386.deb ... Unpacking libexpat1:i386 (2.5.0-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../python3.11-minimal_3.11.2-6_i386.deb ... Unpacking python3.11-minimal (3.11.2-6) ... Setting up libpython3.11-minimal:i386 (3.11.2-6) ... Setting up libexpat1:i386 (2.5.0-1) ... Setting up python3.11-minimal (3.11.2-6) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19934 files and directories currently installed.) Preparing to unpack .../0-python3-minimal_3.11.2-1+b1_i386.deb ... Unpacking python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package media-types. Preparing to unpack .../1-media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package readline-common. Preparing to unpack .../2-readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package libreadline8:i386. Preparing to unpack .../3-libreadline8_8.2-1.3_i386.deb ... Unpacking libreadline8:i386 (8.2-1.3) ... Selecting previously unselected package libpython3.11-stdlib:i386. Preparing to unpack .../4-libpython3.11-stdlib_3.11.2-6_i386.deb ... Unpacking libpython3.11-stdlib:i386 (3.11.2-6) ... Selecting previously unselected package python3.11. Preparing to unpack .../5-python3.11_3.11.2-6_i386.deb ... Unpacking python3.11 (3.11.2-6) ... Selecting previously unselected package libpython3-stdlib:i386. Preparing to unpack .../6-libpython3-stdlib_3.11.2-1+b1_i386.deb ... Unpacking libpython3-stdlib:i386 (3.11.2-1+b1) ... Setting up python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20368 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.2-1+b1_i386.deb ... Unpacking python3 (3.11.2-1+b1) ... Selecting previously unselected package libproc2-0:i386. Preparing to unpack .../001-libproc2-0_2%3a4.0.2-3_i386.deb ... Unpacking libproc2-0:i386 (2:4.0.2-3) ... Selecting previously unselected package procps. Preparing to unpack .../002-procps_2%3a4.0.2-3_i386.deb ... Unpacking procps (2:4.0.2-3) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../003-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../004-libmagic-mgc_1%3a5.44-3_i386.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:i386. Preparing to unpack .../005-libmagic1_1%3a5.44-3_i386.deb ... Unpacking libmagic1:i386 (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../006-file_1%3a5.44-3_i386.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../007-gettext-base_0.21-12_i386.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:i386. Preparing to unpack .../008-libuchardet0_0.0.7-1_i386.deb ... Unpacking libuchardet0:i386 (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../009-groff-base_1.22.4-10_i386.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../010-bsdextrautils_2.38.1-5+b1_i386.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:i386. Preparing to unpack .../011-libpipeline1_1.5.7-1_i386.deb ... Unpacking libpipeline1:i386 (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../012-man-db_2.11.2-2_i386.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package m4. Preparing to unpack .../013-m4_1.4.19-3_i386.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package autoconf. Preparing to unpack .../014-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../015-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../016-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../017-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package libicu72:i386. Preparing to unpack .../018-libicu72_72.1-3_i386.deb ... Unpacking libicu72:i386 (72.1-3) ... Selecting previously unselected package libxml2:i386. Preparing to unpack .../019-libxml2_2.9.14+dfsg-1.1+b3_i386.deb ... Unpacking libxml2:i386 (2.9.14+dfsg-1.1+b3) ... Selecting previously unselected package libarchive13:i386. Preparing to unpack .../020-libarchive13_3.6.2-1_i386.deb ... Unpacking libarchive13:i386 (3.6.2-1) ... Selecting previously unselected package libbrotli1:i386. Preparing to unpack .../021-libbrotli1_1.0.9-2+b6_i386.deb ... Unpacking libbrotli1:i386 (1.0.9-2+b6) ... Selecting previously unselected package libsasl2-modules-db:i386. Preparing to unpack .../022-libsasl2-modules-db_2.1.28+dfsg-10_i386.deb ... Unpacking libsasl2-modules-db:i386 (2.1.28+dfsg-10) ... Selecting previously unselected package libsasl2-2:i386. Preparing to unpack .../023-libsasl2-2_2.1.28+dfsg-10_i386.deb ... Unpacking libsasl2-2:i386 (2.1.28+dfsg-10) ... Selecting previously unselected package libldap-2.5-0:i386. Preparing to unpack .../024-libldap-2.5-0_2.5.13+dfsg-5_i386.deb ... Unpacking libldap-2.5-0:i386 (2.5.13+dfsg-5) ... Selecting previously unselected package libnghttp2-14:i386. Preparing to unpack .../025-libnghttp2-14_1.52.0-1_i386.deb ... Unpacking libnghttp2-14:i386 (1.52.0-1) ... Selecting previously unselected package libpsl5:i386. Preparing to unpack .../026-libpsl5_0.21.2-1_i386.deb ... Unpacking libpsl5:i386 (0.21.2-1) ... Selecting previously unselected package librtmp1:i386. Preparing to unpack .../027-librtmp1_2.4+20151223.gitfa8646d.1-2+b2_i386.deb ... Unpacking librtmp1:i386 (2.4+20151223.gitfa8646d.1-2+b2) ... Selecting previously unselected package libssh2-1:i386. Preparing to unpack .../028-libssh2-1_1.10.0-3+b1_i386.deb ... Unpacking libssh2-1:i386 (1.10.0-3+b1) ... Selecting previously unselected package libcurl4:i386. Preparing to unpack .../029-libcurl4_7.88.1-8_i386.deb ... Unpacking libcurl4:i386 (7.88.1-8) ... Selecting previously unselected package libjsoncpp25:i386. Preparing to unpack .../030-libjsoncpp25_1.9.5-4_i386.deb ... Unpacking libjsoncpp25:i386 (1.9.5-4) ... Selecting previously unselected package librhash0:i386. Preparing to unpack .../031-librhash0_1.4.3-3_i386.deb ... Unpacking librhash0:i386 (1.4.3-3) ... Selecting previously unselected package libuv1:i386. Preparing to unpack .../032-libuv1_1.44.2-1_i386.deb ... Unpacking libuv1:i386 (1.44.2-1) ... Selecting previously unselected package cmake-data. Preparing to unpack .../033-cmake-data_3.25.1-1_all.deb ... Unpacking cmake-data (3.25.1-1) ... Selecting previously unselected package cmake. Preparing to unpack .../034-cmake_3.25.1-1_i386.deb ... Unpacking cmake (3.25.1-1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../035-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../036-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../037-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../038-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../039-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../040-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../041-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:i386. Preparing to unpack .../042-libelf1_0.188-2.1_i386.deb ... Unpacking libelf1:i386 (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../043-dwz_0.15-1_i386.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package gettext. Preparing to unpack .../044-gettext_0.21-12_i386.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../045-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../046-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../047-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package libpng16-16:i386. Preparing to unpack .../048-libpng16-16_1.6.39-2_i386.deb ... Unpacking libpng16-16:i386 (1.6.39-2) ... Selecting previously unselected package libfreetype6:i386. Preparing to unpack .../049-libfreetype6_2.12.1+dfsg-4_i386.deb ... Unpacking libfreetype6:i386 (2.12.1+dfsg-4) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../050-fonts-dejavu-core_2.37-6_all.deb ... Unpacking fonts-dejavu-core (2.37-6) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../051-fontconfig-config_2.14.1-4_i386.deb ... Unpacking fontconfig-config (2.14.1-4) ... Selecting previously unselected package libfontconfig1:i386. Preparing to unpack .../052-libfontconfig1_2.14.1-4_i386.deb ... Unpacking libfontconfig1:i386 (2.14.1-4) ... Selecting previously unselected package fontconfig. Preparing to unpack .../053-fontconfig_2.14.1-4_i386.deb ... Unpacking fontconfig (2.14.1-4) ... Selecting previously unselected package fpga-icestorm-chipdb. Preparing to unpack .../054-fpga-icestorm-chipdb_0~20220915gita545498-3_all.deb ... Unpacking fpga-icestorm-chipdb (0~20220915gita545498-3) ... Selecting previously unselected package help2man. Preparing to unpack .../055-help2man_1.49.3_i386.deb ... Unpacking help2man (1.49.3) ... Selecting previously unselected package icu-devtools. Preparing to unpack .../056-icu-devtools_72.1-3_i386.deb ... Unpacking icu-devtools (72.1-3) ... Selecting previously unselected package libavahi-common-data:i386. Preparing to unpack .../057-libavahi-common-data_0.8-9_i386.deb ... Unpacking libavahi-common-data:i386 (0.8-9) ... Selecting previously unselected package libavahi-common3:i386. Preparing to unpack .../058-libavahi-common3_0.8-9_i386.deb ... Unpacking libavahi-common3:i386 (0.8-9) ... Selecting previously unselected package libdbus-1-3:i386. Preparing to unpack .../059-libdbus-1-3_1.14.6-1_i386.deb ... Unpacking libdbus-1-3:i386 (1.14.6-1) ... Selecting previously unselected package libavahi-client3:i386. Preparing to unpack .../060-libavahi-client3_0.8-9_i386.deb ... Unpacking libavahi-client3:i386 (0.8-9) ... Selecting previously unselected package libboost1.74-dev:i386. Preparing to unpack .../061-libboost1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-atomic1.74.0:i386. Preparing to unpack .../062-libboost-atomic1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-atomic1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-atomic1.74-dev:i386. Preparing to unpack .../063-libboost-atomic1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-atomic1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-chrono1.74.0:i386. Preparing to unpack .../064-libboost-chrono1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-chrono1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-chrono1.74-dev:i386. Preparing to unpack .../065-libboost-chrono1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-chrono1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-date-time1.74.0:i386. Preparing to unpack .../066-libboost-date-time1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-date-time1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-serialization1.74.0:i386. Preparing to unpack .../067-libboost-serialization1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-serialization1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-serialization1.74-dev:i386. Preparing to unpack .../068-libboost-serialization1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-serialization1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-date-time1.74-dev:i386. Preparing to unpack .../069-libboost-date-time1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-date-time1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-filesystem1.74.0:i386. Preparing to unpack .../070-libboost-filesystem1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-filesystem1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-system1.74.0:i386. Preparing to unpack .../071-libboost-system1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-system1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-system1.74-dev:i386. Preparing to unpack .../072-libboost-system1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-system1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-filesystem1.74-dev:i386. Preparing to unpack .../073-libboost-filesystem1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-filesystem1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-filesystem-dev:i386. Preparing to unpack .../074-libboost-filesystem-dev_1.74.0.3_i386.deb ... Unpacking libboost-filesystem-dev:i386 (1.74.0.3) ... Selecting previously unselected package libboost-regex1.74.0:i386. Preparing to unpack .../075-libboost-regex1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-regex1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libicu-dev:i386. Preparing to unpack .../076-libicu-dev_72.1-3_i386.deb ... Unpacking libicu-dev:i386 (72.1-3) ... Selecting previously unselected package libboost-regex1.74-dev:i386. Preparing to unpack .../077-libboost-regex1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-regex1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-iostreams1.74-dev:i386. Preparing to unpack .../078-libboost-iostreams1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-iostreams1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-iostreams-dev:i386. Preparing to unpack .../079-libboost-iostreams-dev_1.74.0.3_i386.deb ... Unpacking libboost-iostreams-dev:i386 (1.74.0.3) ... Selecting previously unselected package libboost-program-options1.74.0:i386. Preparing to unpack .../080-libboost-program-options1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-program-options1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-program-options1.74-dev:i386. Preparing to unpack .../081-libboost-program-options1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-program-options1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-program-options-dev:i386. Preparing to unpack .../082-libboost-program-options-dev_1.74.0.3_i386.deb ... Unpacking libboost-program-options-dev:i386 (1.74.0.3) ... Selecting previously unselected package libboost-python1.74.0. Preparing to unpack .../083-libboost-python1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-python1.74.0 (1.74.0+ds1-20) ... Selecting previously unselected package libpython3.11:i386. Preparing to unpack .../084-libpython3.11_3.11.2-6_i386.deb ... Unpacking libpython3.11:i386 (3.11.2-6) ... Selecting previously unselected package libexpat1-dev:i386. Preparing to unpack .../085-libexpat1-dev_2.5.0-1_i386.deb ... Unpacking libexpat1-dev:i386 (2.5.0-1) ... Selecting previously unselected package zlib1g-dev:i386. Preparing to unpack .../086-zlib1g-dev_1%3a1.2.13.dfsg-1_i386.deb ... Unpacking zlib1g-dev:i386 (1:1.2.13.dfsg-1) ... Selecting previously unselected package libpython3.11-dev:i386. Preparing to unpack .../087-libpython3.11-dev_3.11.2-6_i386.deb ... Unpacking libpython3.11-dev:i386 (3.11.2-6) ... Selecting previously unselected package libpython3-dev:i386. Preparing to unpack .../088-libpython3-dev_3.11.2-1+b1_i386.deb ... Unpacking libpython3-dev:i386 (3.11.2-1+b1) ... Selecting previously unselected package python3.11-dev. Preparing to unpack .../089-python3.11-dev_3.11.2-6_i386.deb ... Unpacking python3.11-dev (3.11.2-6) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../090-python3-lib2to3_3.11.2-2_all.deb ... Unpacking python3-lib2to3 (3.11.2-2) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../091-python3-distutils_3.11.2-2_all.deb ... Unpacking python3-distutils (3.11.2-2) ... Selecting previously unselected package libjs-jquery. Preparing to unpack .../092-libjs-jquery_3.6.1+dfsg+~3.5.14-1_all.deb ... Unpacking libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Selecting previously unselected package libjs-underscore. Preparing to unpack .../093-libjs-underscore_1.13.4~dfsg+~1.11.4-3_all.deb ... Unpacking libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Selecting previously unselected package libjs-sphinxdoc. Preparing to unpack .../094-libjs-sphinxdoc_5.3.0-4_all.deb ... Unpacking libjs-sphinxdoc (5.3.0-4) ... Selecting previously unselected package python3-dev. Preparing to unpack .../095-python3-dev_3.11.2-1+b1_i386.deb ... Unpacking python3-dev (3.11.2-1+b1) ... Selecting previously unselected package libboost-python1.74-dev. Preparing to unpack .../096-libboost-python1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-python1.74-dev (1.74.0+ds1-20) ... Selecting previously unselected package libboost-python-dev. Preparing to unpack .../097-libboost-python-dev_1.74.0.3_i386.deb ... Unpacking libboost-python-dev (1.74.0.3) ... Selecting previously unselected package libboost-thread1.74.0:i386. Preparing to unpack .../098-libboost-thread1.74.0_1.74.0+ds1-20_i386.deb ... Unpacking libboost-thread1.74.0:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-thread1.74-dev:i386. Preparing to unpack .../099-libboost-thread1.74-dev_1.74.0+ds1-20_i386.deb ... Unpacking libboost-thread1.74-dev:i386 (1.74.0+ds1-20) ... Selecting previously unselected package libboost-thread-dev:i386. Preparing to unpack .../100-libboost-thread-dev_1.74.0.3_i386.deb ... Unpacking libboost-thread-dev:i386 (1.74.0.3) ... Selecting previously unselected package libbsd0:i386. Preparing to unpack .../101-libbsd0_0.11.7-2_i386.deb ... Unpacking libbsd0:i386 (0.11.7-2) ... Selecting previously unselected package libcups2:i386. Preparing to unpack .../102-libcups2_2.4.2-3_i386.deb ... Unpacking libcups2:i386 (2.4.2-3) ... Selecting previously unselected package libdouble-conversion3:i386. Preparing to unpack .../103-libdouble-conversion3_3.2.1-1_i386.deb ... Unpacking libdouble-conversion3:i386 (3.2.1-1) ... Selecting previously unselected package libdrm-common. Preparing to unpack .../104-libdrm-common_2.4.114-1_all.deb ... Unpacking libdrm-common (2.4.114-1) ... Selecting previously unselected package libdrm2:i386. Preparing to unpack .../105-libdrm2_2.4.114-1+b1_i386.deb ... Unpacking libdrm2:i386 (2.4.114-1+b1) ... Selecting previously unselected package libdrm-amdgpu1:i386. Preparing to unpack .../106-libdrm-amdgpu1_2.4.114-1+b1_i386.deb ... Unpacking libdrm-amdgpu1:i386 (2.4.114-1+b1) ... Selecting previously unselected package libpciaccess0:i386. Preparing to unpack .../107-libpciaccess0_0.17-2_i386.deb ... Unpacking libpciaccess0:i386 (0.17-2) ... Selecting previously unselected package libdrm-intel1:i386. Preparing to unpack .../108-libdrm-intel1_2.4.114-1+b1_i386.deb ... Unpacking libdrm-intel1:i386 (2.4.114-1+b1) ... Selecting previously unselected package libdrm-nouveau2:i386. Preparing to unpack .../109-libdrm-nouveau2_2.4.114-1+b1_i386.deb ... Unpacking libdrm-nouveau2:i386 (2.4.114-1+b1) ... Selecting previously unselected package libdrm-radeon1:i386. Preparing to unpack .../110-libdrm-radeon1_2.4.114-1+b1_i386.deb ... Unpacking libdrm-radeon1:i386 (2.4.114-1+b1) ... Selecting previously unselected package libedit2:i386. Preparing to unpack .../111-libedit2_3.1-20221030-2_i386.deb ... Unpacking libedit2:i386 (3.1-20221030-2) ... Selecting previously unselected package libglvnd0:i386. Preparing to unpack .../112-libglvnd0_1.6.0-1_i386.deb ... Unpacking libglvnd0:i386 (1.6.0-1) ... Selecting previously unselected package libwayland-server0:i386. Preparing to unpack .../113-libwayland-server0_1.21.0-1_i386.deb ... Unpacking libwayland-server0:i386 (1.21.0-1) ... Selecting previously unselected package libgbm1:i386. Preparing to unpack .../114-libgbm1_22.3.6-1+deb12u1_i386.deb ... Unpacking libgbm1:i386 (22.3.6-1+deb12u1) ... Selecting previously unselected package libglapi-mesa:i386. Preparing to unpack .../115-libglapi-mesa_22.3.6-1+deb12u1_i386.deb ... Unpacking libglapi-mesa:i386 (22.3.6-1+deb12u1) ... Selecting previously unselected package libwayland-client0:i386. Preparing to unpack .../116-libwayland-client0_1.21.0-1_i386.deb ... Unpacking libwayland-client0:i386 (1.21.0-1) ... Selecting previously unselected package libxau6:i386. Preparing to unpack .../117-libxau6_1%3a1.0.9-1_i386.deb ... Unpacking libxau6:i386 (1:1.0.9-1) ... Selecting previously unselected package libxdmcp6:i386. Preparing to unpack .../118-libxdmcp6_1%3a1.1.2-3_i386.deb ... Unpacking libxdmcp6:i386 (1:1.1.2-3) ... Selecting previously unselected package libxcb1:i386. Preparing to unpack .../119-libxcb1_1.15-1_i386.deb ... Unpacking libxcb1:i386 (1.15-1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../120-libx11-data_2%3a1.8.4-2_all.deb ... Unpacking libx11-data (2:1.8.4-2) ... Selecting previously unselected package libx11-6:i386. Preparing to unpack .../121-libx11-6_2%3a1.8.4-2_i386.deb ... Unpacking libx11-6:i386 (2:1.8.4-2) ... Selecting previously unselected package libx11-xcb1:i386. Preparing to unpack .../122-libx11-xcb1_2%3a1.8.4-2_i386.deb ... Unpacking libx11-xcb1:i386 (2:1.8.4-2) ... Selecting previously unselected package libxcb-dri2-0:i386. Preparing to unpack .../123-libxcb-dri2-0_1.15-1_i386.deb ... Unpacking libxcb-dri2-0:i386 (1.15-1) ... Selecting previously unselected package libxcb-dri3-0:i386. Preparing to unpack .../124-libxcb-dri3-0_1.15-1_i386.deb ... Unpacking libxcb-dri3-0:i386 (1.15-1) ... Selecting previously unselected package libxcb-present0:i386. Preparing to unpack .../125-libxcb-present0_1.15-1_i386.deb ... Unpacking libxcb-present0:i386 (1.15-1) ... Selecting previously unselected package libxcb-randr0:i386. Preparing to unpack .../126-libxcb-randr0_1.15-1_i386.deb ... Unpacking libxcb-randr0:i386 (1.15-1) ... Selecting previously unselected package libxcb-sync1:i386. Preparing to unpack .../127-libxcb-sync1_1.15-1_i386.deb ... Unpacking libxcb-sync1:i386 (1.15-1) ... Selecting previously unselected package libxcb-xfixes0:i386. Preparing to unpack .../128-libxcb-xfixes0_1.15-1_i386.deb ... Unpacking libxcb-xfixes0:i386 (1.15-1) ... Selecting previously unselected package libxshmfence1:i386. Preparing to unpack .../129-libxshmfence1_1.3-1_i386.deb ... Unpacking libxshmfence1:i386 (1.3-1) ... Selecting previously unselected package libegl-mesa0:i386. Preparing to unpack .../130-libegl-mesa0_22.3.6-1+deb12u1_i386.deb ... Unpacking libegl-mesa0:i386 (22.3.6-1+deb12u1) ... Selecting previously unselected package libegl1:i386. Preparing to unpack .../131-libegl1_1.6.0-1_i386.deb ... Unpacking libegl1:i386 (1.6.0-1) ... Selecting previously unselected package libxcb-glx0:i386. Preparing to unpack .../132-libxcb-glx0_1.15-1_i386.deb ... Unpacking libxcb-glx0:i386 (1.15-1) ... Selecting previously unselected package libxcb-shm0:i386. Preparing to unpack .../133-libxcb-shm0_1.15-1_i386.deb ... Unpacking libxcb-shm0:i386 (1.15-1) ... Selecting previously unselected package libxext6:i386. Preparing to unpack .../134-libxext6_2%3a1.3.4-1+b1_i386.deb ... Unpacking libxext6:i386 (2:1.3.4-1+b1) ... Selecting previously unselected package libxfixes3:i386. Preparing to unpack .../135-libxfixes3_1%3a6.0.0-2_i386.deb ... Unpacking libxfixes3:i386 (1:6.0.0-2) ... Selecting previously unselected package libxxf86vm1:i386. Preparing to unpack .../136-libxxf86vm1_1%3a1.1.4-1+b2_i386.deb ... Unpacking libxxf86vm1:i386 (1:1.1.4-1+b2) ... Selecting previously unselected package libz3-4:i386. Preparing to unpack .../137-libz3-4_4.8.12-3.1_i386.deb ... Unpacking libz3-4:i386 (4.8.12-3.1) ... Selecting previously unselected package libllvm15:i386. Preparing to unpack .../138-libllvm15_1%3a15.0.6-4+b1_i386.deb ... Unpacking libllvm15:i386 (1:15.0.6-4+b1) ... Selecting previously unselected package libsensors-config. Preparing to unpack .../139-libsensors-config_1%3a3.6.0-7.1_all.deb ... Unpacking libsensors-config (1:3.6.0-7.1) ... Selecting previously unselected package libsensors5:i386. Preparing to unpack .../140-libsensors5_1%3a3.6.0-7.1_i386.deb ... Unpacking libsensors5:i386 (1:3.6.0-7.1) ... Selecting previously unselected package libgl1-mesa-dri:i386. Preparing to unpack .../141-libgl1-mesa-dri_22.3.6-1+deb12u1_i386.deb ... Unpacking libgl1-mesa-dri:i386 (22.3.6-1+deb12u1) ... Selecting previously unselected package libglx-mesa0:i386. Preparing to unpack .../142-libglx-mesa0_22.3.6-1+deb12u1_i386.deb ... Unpacking libglx-mesa0:i386 (22.3.6-1+deb12u1) ... Selecting previously unselected package libglx0:i386. Preparing to unpack .../143-libglx0_1.6.0-1_i386.deb ... Unpacking libglx0:i386 (1.6.0-1) ... Selecting previously unselected package libgl1:i386. Preparing to unpack .../144-libgl1_1.6.0-1_i386.deb ... Unpacking libgl1:i386 (1.6.0-1) ... Selecting previously unselected package xorg-sgml-doctools. Preparing to unpack .../145-xorg-sgml-doctools_1%3a1.11-1.1_all.deb ... Unpacking xorg-sgml-doctools (1:1.11-1.1) ... Selecting previously unselected package x11proto-dev. Preparing to unpack .../146-x11proto-dev_2022.1-1_all.deb ... Unpacking x11proto-dev (2022.1-1) ... Selecting previously unselected package libxau-dev:i386. Preparing to unpack .../147-libxau-dev_1%3a1.0.9-1_i386.deb ... Unpacking libxau-dev:i386 (1:1.0.9-1) ... Selecting previously unselected package libxdmcp-dev:i386. Preparing to unpack .../148-libxdmcp-dev_1%3a1.1.2-3_i386.deb ... Unpacking libxdmcp-dev:i386 (1:1.1.2-3) ... Selecting previously unselected package xtrans-dev. Preparing to unpack .../149-xtrans-dev_1.4.0-1_all.deb ... Unpacking xtrans-dev (1.4.0-1) ... Selecting previously unselected package libpthread-stubs0-dev:i386. Preparing to unpack .../150-libpthread-stubs0-dev_0.4-1_i386.deb ... Unpacking libpthread-stubs0-dev:i386 (0.4-1) ... Selecting previously unselected package libxcb1-dev:i386. Preparing to unpack .../151-libxcb1-dev_1.15-1_i386.deb ... Unpacking libxcb1-dev:i386 (1.15-1) ... Selecting previously unselected package libx11-dev:i386. Preparing to unpack .../152-libx11-dev_2%3a1.8.4-2_i386.deb ... Unpacking libx11-dev:i386 (2:1.8.4-2) ... Selecting previously unselected package libglx-dev:i386. Preparing to unpack .../153-libglx-dev_1.6.0-1_i386.deb ... Unpacking libglx-dev:i386 (1.6.0-1) ... Selecting previously unselected package libgl-dev:i386. Preparing to unpack .../154-libgl-dev_1.6.0-1_i386.deb ... Unpacking libgl-dev:i386 (1.6.0-1) ... Selecting previously unselected package libegl-dev:i386. Preparing to unpack .../155-libegl-dev_1.6.0-1_i386.deb ... Unpacking libegl-dev:i386 (1.6.0-1) ... Selecting previously unselected package libpkgconf3:i386. Preparing to unpack .../156-libpkgconf3_1.8.1-1_i386.deb ... Unpacking libpkgconf3:i386 (1.8.1-1) ... Selecting previously unselected package pkgconf-bin. Preparing to unpack .../157-pkgconf-bin_1.8.1-1_i386.deb ... Unpacking pkgconf-bin (1.8.1-1) ... Selecting previously unselected package pkgconf:i386. Preparing to unpack .../158-pkgconf_1.8.1-1_i386.deb ... Unpacking pkgconf:i386 (1.8.1-1) ... Selecting previously unselected package pkg-config:i386. Preparing to unpack .../159-pkg-config_1.8.1-1_i386.deb ... Unpacking pkg-config:i386 (1.8.1-1) ... Selecting previously unselected package libeigen3-dev. Preparing to unpack .../160-libeigen3-dev_3.4.0-4_all.deb ... Unpacking libeigen3-dev (3.4.0-4) ... Selecting previously unselected package libevdev2:i386. Preparing to unpack .../161-libevdev2_1.13.0+dfsg-1_i386.deb ... Unpacking libevdev2:i386 (1.13.0+dfsg-1) ... Selecting previously unselected package libglib2.0-0:i386. Preparing to unpack .../162-libglib2.0-0_2.74.6-2_i386.deb ... Unpacking libglib2.0-0:i386 (2.74.6-2) ... Selecting previously unselected package libopengl0:i386. Preparing to unpack .../163-libopengl0_1.6.0-1_i386.deb ... Unpacking libopengl0:i386 (1.6.0-1) ... Selecting previously unselected package libglu1-mesa:i386. Preparing to unpack .../164-libglu1-mesa_9.0.2-1.1_i386.deb ... Unpacking libglu1-mesa:i386 (9.0.2-1.1) ... Selecting previously unselected package libopengl-dev:i386. Preparing to unpack .../165-libopengl-dev_1.6.0-1_i386.deb ... Unpacking libopengl-dev:i386 (1.6.0-1) ... Selecting previously unselected package libglu1-mesa-dev:i386. Preparing to unpack .../166-libglu1-mesa-dev_9.0.2-1.1_i386.deb ... Unpacking libglu1-mesa-dev:i386 (9.0.2-1.1) ... Selecting previously unselected package libgraphite2-3:i386. Preparing to unpack .../167-libgraphite2-3_1.3.14-1_i386.deb ... Unpacking libgraphite2-3:i386 (1.3.14-1) ... Selecting previously unselected package libgudev-1.0-0:i386. Preparing to unpack .../168-libgudev-1.0-0_237-2_i386.deb ... Unpacking libgudev-1.0-0:i386 (237-2) ... Selecting previously unselected package libharfbuzz0b:i386. Preparing to unpack .../169-libharfbuzz0b_6.0.0+dfsg-3_i386.deb ... Unpacking libharfbuzz0b:i386 (6.0.0+dfsg-3) ... Selecting previously unselected package x11-common. Preparing to unpack .../170-x11-common_1%3a7.7+23_all.deb ... Unpacking x11-common (1:7.7+23) ... Selecting previously unselected package libice6:i386. Preparing to unpack .../171-libice6_2%3a1.0.10-1_i386.deb ... Unpacking libice6:i386 (2:1.0.10-1) ... Selecting previously unselected package libwacom-common. Preparing to unpack .../172-libwacom-common_2.6.0-1_all.deb ... Unpacking libwacom-common (2.6.0-1) ... Selecting previously unselected package libwacom9:i386. Preparing to unpack .../173-libwacom9_2.6.0-1_i386.deb ... Unpacking libwacom9:i386 (2.6.0-1) ... Selecting previously unselected package libinput-bin. Preparing to unpack .../174-libinput-bin_1.22.1-1_i386.deb ... Unpacking libinput-bin (1.22.1-1) ... Selecting previously unselected package libmtdev1:i386. Preparing to unpack .../175-libmtdev1_1.1.6-1_i386.deb ... Unpacking libmtdev1:i386 (1.1.6-1) ... Selecting previously unselected package libinput10:i386. Preparing to unpack .../176-libinput10_1.22.1-1_i386.deb ... Unpacking libinput10:i386 (1.22.1-1) ... Selecting previously unselected package libjpeg62-turbo:i386. Preparing to unpack .../177-libjpeg62-turbo_1%3a2.1.5-2_i386.deb ... Unpacking libjpeg62-turbo:i386 (1:2.1.5-2) ... Selecting previously unselected package libmd4c0:i386. Preparing to unpack .../178-libmd4c0_0.4.8-1_i386.deb ... Unpacking libmd4c0:i386 (0.4.8-1) ... Selecting previously unselected package libpcre2-16-0:i386. Preparing to unpack .../179-libpcre2-16-0_10.42-1_i386.deb ... Unpacking libpcre2-16-0:i386 (10.42-1) ... Selecting previously unselected package shared-mime-info. Preparing to unpack .../180-shared-mime-info_2.2-1_i386.deb ... Unpacking shared-mime-info (2.2-1) ... Selecting previously unselected package libqt5core5a:i386. Preparing to unpack .../181-libqt5core5a_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5core5a:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5concurrent5:i386. Preparing to unpack .../182-libqt5concurrent5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5concurrent5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5dbus5:i386. Preparing to unpack .../183-libqt5dbus5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5dbus5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5network5:i386. Preparing to unpack .../184-libqt5network5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5network5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libsm6:i386. Preparing to unpack .../185-libsm6_2%3a1.2.3-1_i386.deb ... Unpacking libsm6:i386 (2:1.2.3-1) ... Selecting previously unselected package libxcb-icccm4:i386. Preparing to unpack .../186-libxcb-icccm4_0.4.1-1.1_i386.deb ... Unpacking libxcb-icccm4:i386 (0.4.1-1.1) ... Selecting previously unselected package libxcb-util1:i386. Preparing to unpack .../187-libxcb-util1_0.4.0-1+b1_i386.deb ... Unpacking libxcb-util1:i386 (0.4.0-1+b1) ... Selecting previously unselected package libxcb-image0:i386. Preparing to unpack .../188-libxcb-image0_0.4.0-2_i386.deb ... Unpacking libxcb-image0:i386 (0.4.0-2) ... Selecting previously unselected package libxcb-keysyms1:i386. Preparing to unpack .../189-libxcb-keysyms1_0.4.0-1+b2_i386.deb ... Unpacking libxcb-keysyms1:i386 (0.4.0-1+b2) ... Selecting previously unselected package libxcb-render0:i386. Preparing to unpack .../190-libxcb-render0_1.15-1_i386.deb ... Unpacking libxcb-render0:i386 (1.15-1) ... Selecting previously unselected package libxcb-render-util0:i386. Preparing to unpack .../191-libxcb-render-util0_0.3.9-1+b1_i386.deb ... Unpacking libxcb-render-util0:i386 (0.3.9-1+b1) ... Selecting previously unselected package libxcb-shape0:i386. Preparing to unpack .../192-libxcb-shape0_1.15-1_i386.deb ... Unpacking libxcb-shape0:i386 (1.15-1) ... Selecting previously unselected package libxcb-xinerama0:i386. Preparing to unpack .../193-libxcb-xinerama0_1.15-1_i386.deb ... Unpacking libxcb-xinerama0:i386 (1.15-1) ... Selecting previously unselected package libxcb-xinput0:i386. Preparing to unpack .../194-libxcb-xinput0_1.15-1_i386.deb ... Unpacking libxcb-xinput0:i386 (1.15-1) ... Selecting previously unselected package libxcb-xkb1:i386. Preparing to unpack .../195-libxcb-xkb1_1.15-1_i386.deb ... Unpacking libxcb-xkb1:i386 (1.15-1) ... Selecting previously unselected package xkb-data. Preparing to unpack .../196-xkb-data_2.35.1-1_all.deb ... Unpacking xkb-data (2.35.1-1) ... Selecting previously unselected package libxkbcommon0:i386. Preparing to unpack .../197-libxkbcommon0_1.5.0-1_i386.deb ... Unpacking libxkbcommon0:i386 (1.5.0-1) ... Selecting previously unselected package libxkbcommon-x11-0:i386. Preparing to unpack .../198-libxkbcommon-x11-0_1.5.0-1_i386.deb ... Unpacking libxkbcommon-x11-0:i386 (1.5.0-1) ... Selecting previously unselected package libxrender1:i386. Preparing to unpack .../199-libxrender1_1%3a0.9.10-1.1_i386.deb ... Unpacking libxrender1:i386 (1:0.9.10-1.1) ... Selecting previously unselected package libqt5gui5:i386. Preparing to unpack .../200-libqt5gui5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5gui5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5widgets5:i386. Preparing to unpack .../201-libqt5widgets5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5widgets5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5opengl5:i386. Preparing to unpack .../202-libqt5opengl5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5opengl5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5printsupport5:i386. Preparing to unpack .../203-libqt5printsupport5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5printsupport5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5sql5:i386. Preparing to unpack .../204-libqt5sql5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5sql5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5test5:i386. Preparing to unpack .../205-libqt5test5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5test5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5xml5:i386. Preparing to unpack .../206-libqt5xml5_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5xml5:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libvulkan1:i386. Preparing to unpack .../207-libvulkan1_1.3.239.0-1_i386.deb ... Unpacking libvulkan1:i386 (1.3.239.0-1) ... Selecting previously unselected package libvulkan-dev:i386. Preparing to unpack .../208-libvulkan-dev_1.3.239.0-1_i386.deb ... Unpacking libvulkan-dev:i386 (1.3.239.0-1) ... Selecting previously unselected package libxext-dev:i386. Preparing to unpack .../209-libxext-dev_2%3a1.3.4-1+b1_i386.deb ... Unpacking libxext-dev:i386 (2:1.3.4-1+b1) ... Selecting previously unselected package qt5-qmake-bin. Preparing to unpack .../210-qt5-qmake-bin_5.15.8+dfsg-3_i386.deb ... Unpacking qt5-qmake-bin (5.15.8+dfsg-3) ... Selecting previously unselected package qtchooser. Preparing to unpack .../211-qtchooser_66-2_i386.deb ... Unpacking qtchooser (66-2) ... Selecting previously unselected package qt5-qmake:i386. Preparing to unpack .../212-qt5-qmake_5.15.8+dfsg-3_i386.deb ... Unpacking qt5-qmake:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package qtbase5-dev-tools. Preparing to unpack .../213-qtbase5-dev-tools_5.15.8+dfsg-3_i386.deb ... Unpacking qtbase5-dev-tools (5.15.8+dfsg-3) ... Selecting previously unselected package qtbase5-dev:i386. Preparing to unpack .../214-qtbase5-dev_5.15.8+dfsg-3_i386.deb ... Unpacking qtbase5-dev:i386 (5.15.8+dfsg-3) ... Selecting previously unselected package libqt5opengl5-dev:i386. Preparing to unpack .../215-libqt5opengl5-dev_5.15.8+dfsg-3_i386.deb ... Unpacking libqt5opengl5-dev:i386 (5.15.8+dfsg-3) ... Setting up libboost-chrono1.74.0:i386 (1.74.0+ds1-20) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:i386 (1.5.7-1) ... Setting up libboost-system1.74.0:i386 (1.74.0+ds1-20) ... Setting up libgraphite2-3:i386 (1.3.14-1) ... Setting up libwayland-server0:i386 (1.21.0-1) ... Setting up libpciaccess0:i386 (0.17-2) ... Setting up libxau6:i386 (1:1.0.9-1) ... Setting up libdouble-conversion3:i386 (3.2.1-1) ... Setting up libpsl5:i386 (0.21.2-1) ... Setting up libboost1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libicu72:i386 (72.1-3) ... Setting up libboost-atomic1.74.0:i386 (1.74.0+ds1-20) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:i386 (2.74.6-2) ... No schema files found: doing nothing. Setting up libglvnd0:i386 (1.6.0-1) ... Setting up libboost-program-options1.74.0:i386 (1.74.0+ds1-20) ... Setting up libdebhelper-perl (13.11.4) ... Setting up libbrotli1:i386 (1.0.9-2+b6) ... Setting up libboost-chrono1.74-dev:i386 (1.74.0+ds1-20) ... Setting up x11-common (1:7.7+23) ... invoke-rc.d: could not determine current runlevel Setting up X socket directories... /tmp/.X11-unix /tmp/.ICE-unix. Setting up libsensors-config (1:3.6.0-7.1) ... Setting up libnghttp2-14:i386 (1.52.0-1) ... Setting up libmagic1:i386 (1:5.44-3) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up xkb-data (2.35.1-1) ... Setting up libboost-filesystem1.74.0:i386 (1.74.0+ds1-20) ... Setting up file (1:5.44-3) ... Setting up libpthread-stubs0-dev:i386 (0.4-1) ... Setting up libpcre2-16-0:i386 (10.42-1) ... Setting up libopengl0:i386 (1.6.0-1) ... Setting up libboost-program-options1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libsasl2-modules-db:i386 (2.1.28+dfsg-10) ... Setting up xtrans-dev (1.4.0-1) ... Setting up autotools-dev (20220109.1) ... Setting up libz3-4:i386 (4.8.12-3.1) ... Setting up libpkgconf3:i386 (1.8.1-1) ... Setting up libuv1:i386 (1.44.2-1) ... Setting up libexpat1-dev:i386 (2.5.0-1) ... Setting up libjpeg62-turbo:i386 (1:2.1.5-2) ... Setting up qt5-qmake-bin (5.15.8+dfsg-3) ... Setting up libboost-program-options-dev:i386 (1.74.0.3) ... Setting up libx11-data (2:1.8.4-2) ... Setting up librtmp1:i386 (2.4+20151223.gitfa8646d.1-2+b2) ... Setting up libavahi-common-data:i386 (0.8-9) ... Setting up libboost-system1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libdbus-1-3:i386 (1.14.6-1) ... Setting up libboost-regex1.74.0:i386 (1.74.0+ds1-20) ... Setting up libproc2-0:i386 (2:4.0.2-3) ... Setting up libpng16-16:i386 (1.6.39-2) ... Setting up autopoint (0.21-12) ... Setting up libjsoncpp25:i386 (1.9.5-4) ... Setting up icu-devtools (72.1-3) ... Setting up fonts-dejavu-core (2.37-6) ... Setting up pkgconf-bin (1.8.1-1) ... Setting up libsensors5:i386 (1:3.6.0-7.1) ... Setting up libglapi-mesa:i386 (22.3.6-1+deb12u1) ... Setting up libsasl2-2:i386 (2.1.28+dfsg-10) ... Setting up libmtdev1:i386 (1.1.6-1) ... Setting up libvulkan1:i386 (1.3.239.0-1) ... Setting up autoconf (2.71-3) ... Setting up libboost-atomic1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libboost-serialization1.74.0:i386 (1.74.0+ds1-20) ... Setting up zlib1g-dev:i386 (1:1.2.13.dfsg-1) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up libxshmfence1:i386 (1.3-1) ... Setting up librhash0:i386 (1.4.3-3) ... Setting up libuchardet0:i386 (0.0.7-1) ... Setting up procps (2:4.0.2-3) ... Setting up libmd4c0:i386 (0.4.8-1) ... Setting up libsub-override-perl (0.09-4) ... Setting up libssh2-1:i386 (1.10.0-3+b1) ... Setting up xorg-sgml-doctools (1:1.11-1.1) ... Setting up cmake-data (3.25.1-1) ... Setting up libglu1-mesa:i386 (9.0.2-1.1) ... Setting up libboost-filesystem1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libopengl-dev:i386 (1.6.0-1) ... Setting up libjs-jquery (3.6.1+dfsg+~3.5.14-1) ... Setting up libboost-date-time1.74.0:i386 (1.74.0+ds1-20) ... Setting up libboost-python1.74.0 (1.74.0+ds1-20) ... Setting up libbsd0:i386 (0.11.7-2) ... Setting up libdrm-common (2.4.114-1) ... Setting up libelf1:i386 (0.188-2.1) ... Setting up libevdev2:i386 (1.13.0+dfsg-1) ... Setting up readline-common (8.2-1.3) ... Setting up libicu-dev:i386 (72.1-3) ... Setting up libxml2:i386 (2.9.14+dfsg-1.1+b3) ... Setting up fpga-icestorm-chipdb (0~20220915gita545498-3) ... Setting up libboost-filesystem-dev:i386 (1.74.0.3) ... Setting up liblocale-gettext-perl (1.07-5) ... Setting up libgudev-1.0-0:i386 (237-2) ... Setting up qtchooser (66-2) ... Setting up libjs-underscore (1.13.4~dfsg+~1.11.4-3) ... Setting up libboost-thread1.74.0:i386 (1.74.0+ds1-20) ... Setting up libwacom-common (2.6.0-1) ... Setting up libxkbcommon0:i386 (1.5.0-1) ... Setting up libwayland-client0:i386 (1.21.0-1) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up x11proto-dev (2022.1-1) ... Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up libice6:i386 (2:1.0.10-1) ... Setting up libxdmcp6:i386 (1:1.1.2-3) ... Setting up libxcb1:i386 (1.15-1) ... Setting up gettext (0.21-12) ... Setting up libxcb-xfixes0:i386 (1.15-1) ... Setting up libxau-dev:i386 (1:1.0.9-1) ... Setting up qt5-qmake:i386 (5.15.8+dfsg-3) ... Setting up libxcb-xinput0:i386 (1.15-1) ... Setting up libtool (2.4.7-5) ... Setting up libarchive13:i386 (3.6.2-1) ... Setting up libxcb-render0:i386 (1.15-1) ... Setting up libwacom9:i386 (2.6.0-1) ... Setting up fontconfig-config (2.14.1-4) ... Setting up libxcb-glx0:i386 (1.15-1) ... Setting up libedit2:i386 (3.1-20221030-2) ... Setting up libreadline8:i386 (8.2-1.3) ... Setting up libxcb-keysyms1:i386 (0.4.0-1+b2) ... Setting up libxcb-shape0:i386 (1.15-1) ... Setting up libavahi-common3:i386 (0.8-9) ... Setting up libxcb-render-util0:i386 (0.3.9-1+b1) ... Setting up libxcb-shm0:i386 (1.15-1) ... Setting up libxcb-icccm4:i386 (0.4.1-1.1) ... Setting up libldap-2.5-0:i386 (2.5.13+dfsg-5) ... Setting up libxcb-util1:i386 (0.4.0-1+b1) ... Setting up pkgconf:i386 (1.8.1-1) ... Setting up libinput-bin (1.22.1-1) ... Setting up libxcb-xkb1:i386 (1.15-1) ... Setting up libxcb-image0:i386 (0.4.0-2) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up help2man (1.49.3) ... Setting up libxcb-present0:i386 (1.15-1) ... Setting up dh-autoreconf (20) ... Setting up libxdmcp-dev:i386 (1:1.1.2-3) ... Setting up libxcb-xinerama0:i386 (1.15-1) ... Setting up libfreetype6:i386 (2.12.1+dfsg-4) ... Setting up libxcb-sync1:i386 (1.15-1) ... Setting up shared-mime-info (2.2-1) ... Setting up libxkbcommon-x11-0:i386 (1.5.0-1) ... Setting up libeigen3-dev (3.4.0-4) ... Setting up pkg-config:i386 (1.8.1-1) ... Setting up libqt5core5a:i386 (5.15.8+dfsg-3) ... Setting up libjs-sphinxdoc (5.3.0-4) ... Setting up libboost-serialization1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libxcb-dri2-0:i386 (1.15-1) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libdrm2:i386 (2.4.114-1+b1) ... Setting up dwz (0.15-1) ... Setting up libboost-regex1.74-dev:i386 (1.74.0+ds1-20) ... Setting up groff-base (1.22.4-10) ... Setting up libqt5dbus5:i386 (5.15.8+dfsg-3) ... Setting up libxcb-randr0:i386 (1.15-1) ... Setting up libllvm15:i386 (1:15.0.6-4+b1) ... Setting up libcurl4:i386 (7.88.1-8) ... Setting up libx11-6:i386 (2:1.8.4-2) ... Setting up libharfbuzz0b:i386 (6.0.0+dfsg-3) ... Setting up libfontconfig1:i386 (2.14.1-4) ... Setting up libqt5test5:i386 (5.15.8+dfsg-3) ... Setting up libsm6:i386 (2:1.2.3-1) ... Setting up libqt5concurrent5:i386 (5.15.8+dfsg-3) ... Setting up libavahi-client3:i386 (0.8-9) ... Setting up libdrm-amdgpu1:i386 (2.4.114-1+b1) ... Setting up libxcb-dri3-0:i386 (1.15-1) ... Setting up libinput10:i386 (1.22.1-1) ... Setting up libx11-xcb1:i386 (2:1.8.4-2) ... Setting up fontconfig (2.14.1-4) ... Regenerating fonts cache... done. Setting up libdrm-nouveau2:i386 (2.4.114-1+b1) ... Setting up libxcb1-dev:i386 (1.15-1) ... Setting up libxrender1:i386 (1:0.9.10-1.1) ... Setting up libgbm1:i386 (22.3.6-1+deb12u1) ... Setting up libdrm-radeon1:i386 (2.4.114-1+b1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libpython3.11-stdlib:i386 (3.11.2-6) ... Setting up libdrm-intel1:i386 (2.4.114-1+b1) ... Setting up libgl1-mesa-dri:i386 (22.3.6-1+deb12u1) ... Setting up libx11-dev:i386 (2:1.8.4-2) ... Setting up libxext6:i386 (2:1.3.4-1+b1) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libqt5network5:i386 (5.15.8+dfsg-3) ... Setting up libxxf86vm1:i386 (1:1.1.4-1+b2) ... Setting up libqt5sql5:i386 (5.15.8+dfsg-3) ... Setting up libboost-iostreams1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libboost-date-time1.74-dev:i386 (1.74.0+ds1-20) ... Setting up qtbase5-dev-tools (5.15.8+dfsg-3) ... Setting up libqt5xml5:i386 (5.15.8+dfsg-3) ... Setting up libegl-mesa0:i386 (22.3.6-1+deb12u1) ... Setting up libxfixes3:i386 (1:6.0.0-2) ... Setting up libxext-dev:i386 (2:1.3.4-1+b1) ... Setting up libcups2:i386 (2.4.2-3) ... Setting up libegl1:i386 (1.6.0-1) ... Setting up cmake (3.25.1-1) ... Setting up libboost-thread1.74-dev:i386 (1.74.0+ds1-20) ... Setting up libboost-thread-dev:i386 (1.74.0.3) ... Setting up libpython3-stdlib:i386 (3.11.2-1+b1) ... Setting up python3.11 (3.11.2-6) ... Setting up libglx-mesa0:i386 (22.3.6-1+deb12u1) ... Setting up libpython3.11:i386 (3.11.2-6) ... Setting up libglx0:i386 (1.6.0-1) ... Setting up debhelper (13.11.4) ... Setting up python3 (3.11.2-1+b1) ... Setting up libboost-iostreams-dev:i386 (1.74.0.3) ... Setting up libgl1:i386 (1.6.0-1) ... Setting up libpython3.11-dev:i386 (3.11.2-6) ... Setting up libglx-dev:i386 (1.6.0-1) ... Setting up python3-lib2to3 (3.11.2-2) ... Setting up libgl-dev:i386 (1.6.0-1) ... Setting up python3-distutils (3.11.2-2) ... Setting up libvulkan-dev:i386 (1.3.239.0-1) ... Setting up libpython3-dev:i386 (3.11.2-1+b1) ... Setting up libegl-dev:i386 (1.6.0-1) ... Setting up python3.11-dev (3.11.2-6) ... Setting up libqt5gui5:i386 (5.15.8+dfsg-3) ... Setting up libqt5widgets5:i386 (5.15.8+dfsg-3) ... Setting up libqt5printsupport5:i386 (5.15.8+dfsg-3) ... Setting up python3-dev (3.11.2-1+b1) ... Setting up libglu1-mesa-dev:i386 (9.0.2-1.1) ... Setting up qtbase5-dev:i386 (5.15.8+dfsg-3) ... Setting up libqt5opengl5:i386 (5.15.8+dfsg-3) ... Setting up libqt5opengl5-dev:i386 (5.15.8+dfsg-3) ... Setting up libboost-python1.74-dev (1.74.0+ds1-20) ... Setting up libboost-python-dev (1.74.0.3) ... Processing triggers for libc-bin (2.36-9) ... Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/nextpnr-0.4/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../nextpnr_0.4-1_source.changes dpkg-buildpackage: info: source package nextpnr dpkg-buildpackage: info: source version 0.4-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Daniel Gröber dpkg-source --before-build . dpkg-buildpackage: info: host architecture i386 debian/rules clean dh clean -Bdebian/build debian/rules override_dh_auto_clean make[1]: Entering directory '/build/nextpnr-0.4' rm -rf debian/build rm -f debian/nextpnr-generic.1 debian/nextpnr-ice40.1 dh_auto_clean make[1]: Leaving directory '/build/nextpnr-0.4' dh_clean -O-Bdebian/build rm -f debian/debhelper-build-stamp rm -rf debian/.debhelper/ rm -f -- debian/nextpnr-ice40.substvars debian/nextpnr-ice40-qt.substvars debian/nextpnr-generic.substvars debian/files rm -fr -- debian/nextpnr-ice40/ debian/tmp/ debian/nextpnr-ice40-qt/ debian/nextpnr-generic/ find . \( \( \ \( -path .\*/.git -o -path .\*/.svn -o -path .\*/.bzr -o -path .\*/.hg -o -path .\*/CVS -o -path .\*/.pc -o -path .\*/_darcs \) -prune -o -type f -a \ \( -name '#*#' -o -name '.*~' -o -name '*~' -o -name DEADJOE \ -o -name '*.orig' -o -name '*.rej' -o -name '*.bak' \ -o -name '.*.orig' -o -name .*.rej -o -name '.SUMS' \ -o -name TAGS -o \( -path '*/.deps/*' -a -name '*.P' \) \ \) -exec rm -f {} + \) -o \ \( -type d -a -name autom4te.cache -prune -exec rm -rf {} + \) \) debian/rules binary dh binary -Bdebian/build dh_update_autotools_config -O-Bdebian/build dh_autoreconf -O-Bdebian/build debian/rules override_dh_auto_configure make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_configure -Bdebian/build/generic -- -DCURRENT_GIT_VERSION="0.4-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DARCH=generic cd debian/build/generic && cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/i386-linux-gnu -DCURRENT_GIT_VERSION=0.4-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DARCH=generic ../../.. -- The CXX compiler identification is GNU 12.2.0 -- The C compiler identification is GNU 12.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Found Python3: /usr/bin/python3 (found suitable version "3.11.2", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.11 (found suitable version "3.11.2", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Found Boost: /usr/include (found version "1.74.0") found components: filesystem program_options iostreams system thread regex chrono date_time atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 2.8.12 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python3 (found version "3.11.2") -- Found Boost: /usr/include (found version "1.74.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /build/nextpnr-0.4/debian/build/generic dh_auto_configure -Bdebian/build/ice40 -- -DCURRENT_GIT_VERSION="0.4-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICEBOX_ROOT=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=OFF cd debian/build/ice40 && cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/i386-linux-gnu -DCURRENT_GIT_VERSION=0.4-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICEBOX_ROOT=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=OFF ../../.. -- The CXX compiler identification is GNU 12.2.0 -- The C compiler identification is GNU 12.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Found Python3: /usr/bin/python3 (found suitable version "3.11.2", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.11 (found suitable version "3.11.2", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Found Boost: /usr/include (found version "1.74.0") found components: filesystem program_options iostreams system thread regex chrono date_time atomic CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 2.8.12 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python3 (found version "3.11.2") -- Found Boost: /usr/include (found version "1.74.0") found components: program_options filesystem system -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3 (found suitable version "3.11.2", minimum required is "3.5") found components: Interpreter CMake Warning at ice40/CMakeLists.txt:21 (message): -DICEBOX_ROOT= is deprecated, use -DICESTORM_INSTALL_PREFIX=/usr/share/fpga-icestorm/chipdb instead -- IceStorm install prefix: /usr/share -- icebox data directory: /usr/share/fpga-icestorm/chipdb -- Using iCE40 chipdb: /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /build/nextpnr-0.4/debian/build/ice40 dh_auto_configure -Bdebian/build/ice40-qt -- -DCURRENT_GIT_VERSION="0.4-1" -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICEBOX_ROOT=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=ON cd debian/build/ice40-qt && cmake -DCMAKE_INSTALL_PREFIX=/usr -DCMAKE_BUILD_TYPE=None -DCMAKE_INSTALL_SYSCONFDIR=/etc -DCMAKE_INSTALL_LOCALSTATEDIR=/var -DCMAKE_EXPORT_NO_PACKAGE_REGISTRY=ON -DCMAKE_FIND_USE_PACKAGE_REGISTRY=OFF -DCMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY=ON -DFETCHCONTENT_FULLY_DISCONNECTED=ON -DCMAKE_INSTALL_RUNSTATEDIR=/run -DCMAKE_SKIP_INSTALL_ALL_DEPENDENCY=ON "-GUnix Makefiles" -DCMAKE_VERBOSE_MAKEFILE=ON -DCMAKE_INSTALL_LIBDIR=lib/i386-linux-gnu -DCURRENT_GIT_VERSION=0.4-1 -DBUILD_PYTHON=ON -DBUILD_TESTS=ON -DARCH=ice40 -DICEBOX_DATADIR=/usr/share/fpga-icestorm/chipdb -DICEBOX_ROOT=/usr/share/fpga-icestorm/chipdb -DBUILD_GUI=ON ../../.. -- The CXX compiler identification is GNU 12.2.0 -- The C compiler identification is GNU 12.2.0 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/c++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/cc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Found Python3: /usr/bin/python3 (found suitable version "3.11.2", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.11 (found suitable version "3.11.2", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Found Boost: /usr/include (found version "1.74.0") found components: filesystem program_options iostreams system thread regex chrono date_time atomic -- Found OpenGL: /usr/lib/i386-linux-gnu/libOpenGL.so CMake Deprecation Warning at 3rdparty/googletest/googletest/CMakeLists.txt:48 (cmake_minimum_required): Compatibility with CMake < 2.8.12 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found PythonInterp: /usr/bin/python3 (found version "3.11.2") CMake Deprecation Warning at 3rdparty/QtPropertyBrowser/CMakeLists.txt:1 (CMAKE_MINIMUM_REQUIRED): Compatibility with CMake < 2.8.12 will be removed from a future version of CMake. Update the VERSION argument value or use a ... suffix to tell CMake that the project does not need compatibility with older versions. -- Found Boost: /usr/include (found version "1.74.0") found components: program_options filesystem system -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3 (found suitable version "3.11.2", minimum required is "3.5") found components: Interpreter CMake Warning at ice40/CMakeLists.txt:21 (message): -DICEBOX_ROOT= is deprecated, use -DICESTORM_INSTALL_PREFIX=/usr/share/fpga-icestorm/chipdb instead -- IceStorm install prefix: /usr/share -- icebox data directory: /usr/share/fpga-icestorm/chipdb -- Using iCE40 chipdb: /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_EXPORT_NO_PACKAGE_REGISTRY CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY CMAKE_INSTALL_LIBDIR CMAKE_INSTALL_LOCALSTATEDIR CMAKE_INSTALL_RUNSTATEDIR CMAKE_INSTALL_SYSCONFDIR FETCHCONTENT_FULLY_DISCONNECTED -- Build files have been written to: /build/nextpnr-0.4/debian/build/ice40-qt make[1]: Leaving directory '/build/nextpnr-0.4' rm -f debian/nextpnr-generic.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_auto_build make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_build -Bdebian/build/generic cd debian/build/generic && make -j8 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/generic' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/generic --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/generic/CMakeFiles /build/nextpnr-0.4/debian/build/generic//CMakeFiles/progress.marks make -f CMakeFiles/Makefile2 all make[3]: Entering directory '/build/nextpnr-0.4/debian/build/generic' make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/bba /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/bba /build/nextpnr-0.4/debian/build/generic/bba/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' [ 2%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o [ 2%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc cd /build/nextpnr-0.4/debian/build/generic/bba && /usr/bin/c++ -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -std=gnu++14 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /build/nextpnr-0.4/bba/main.cc [ 3%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o [ 5%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o [ 6%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc [ 7%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o [ 8%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc [ 9%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc [ 10%] Linking CXX executable bbasm cd /build/nextpnr-0.4/debian/build/generic/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 [ 11%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_system.so make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' [ 11%] Built target bbasm [ 12%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc [ 13%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc [ 14%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc [ 15%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 16%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc [ 17%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 18%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc [ 19%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc [ 20%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc [ 21%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc [ 22%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 23%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc /build/nextpnr-0.4/common/kernel/pybindings.cc: In function 'void nextpnr_generic::init_python(const char*)': /build/nextpnr-0.4/common/kernel/pybindings.cc:319:22: warning: 'void Py_SetProgramName(const wchar_t*)' is deprecated [-Wdeprecated-declarations] 319 | Py_SetProgramName(program); | ~~~~~~~~~~~~~~~~~^~~~~~~~~ In file included from /usr/include/python3.11/Python.h:94, from /build/nextpnr-0.4/common/kernel/pybindings.h:24, from /build/nextpnr-0.4/common/kernel/pybindings.cc:23: /usr/include/python3.11/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ [ 24%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc [ 25%] Linking CXX static library libgtest.a cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' [ 25%] Built target gtest make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' [ 26%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest_main.cc [ 27%] Linking CXX static library libgtest_main.a cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/generic/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' [ 27%] Built target gtest_main make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' cd /build/nextpnr-0.4/debian/build/generic && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic /build/nextpnr-0.4/debian/build/generic/CMakeFiles/nextpnr-generic-test.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' make -f CMakeFiles/nextpnr-generic-test.dir/build.make CMakeFiles/nextpnr-generic-test.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/generic' [ 28%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc [ 29%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 30%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 31%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 32%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 33%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 34%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 35%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc [ 36%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 37%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc [ 38%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /build/nextpnr-0.4/generic/arch.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /build/nextpnr-0.4/generic/arch_pybindings.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /build/nextpnr-0.4/generic/cells.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /build/nextpnr-0.4/generic/main.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /build/nextpnr-0.4/generic/pack.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /build/nextpnr-0.4/generic/viaduct_api.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /build/nextpnr-0.4/generic/viaduct_helpers.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /build/nextpnr-0.4/generic/viaduct/example/example.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /build/nextpnr-0.4/generic/viaduct/okami/okami.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/fabulous.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/fasm.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/pack.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/validity_check.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc [ 59%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc [ 60%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc [ 61%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc [ 62%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc [ 63%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc [ 64%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_iostreams.so /usr/lib/i386-linux-gnu/libboost_system.so /usr/lib/i386-linux-gnu/libboost_thread.so /usr/lib/i386-linux-gnu/libboost_regex.so /usr/lib/i386-linux-gnu/libboost_chrono.so /usr/lib/i386-linux-gnu/libboost_date_time.so /usr/lib/i386-linux-gnu/libboost_atomic.so -lpthread /usr/lib/i386-linux-gnu/libpython3.11.so [ 65%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 66%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc [ 67%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 68%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc [ 69%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc [ 70%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc [ 71%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc [ 72%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 73%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc [ 74%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc [ 75%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 76%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 77%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 78%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 79%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 80%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 81%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 82%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc [ 83%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 84%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 85%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' [ 85%] Built target nextpnr-generic [ 86%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 87%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o -c /build/nextpnr-0.4/generic/arch.cc [ 88%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o -c /build/nextpnr-0.4/generic/arch_pybindings.cc [ 89%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o -c /build/nextpnr-0.4/generic/cells.cc [ 90%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o -c /build/nextpnr-0.4/generic/main.cc [ 91%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o -c /build/nextpnr-0.4/generic/pack.cc [ 92%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o -c /build/nextpnr-0.4/generic/viaduct_api.cc [ 93%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o -c /build/nextpnr-0.4/generic/viaduct_helpers.cc [ 94%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o -c /build/nextpnr-0.4/generic/viaduct/example/example.cc [ 95%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o -c /build/nextpnr-0.4/generic/viaduct/okami/okami.cc [ 96%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/fabulous.cc [ 97%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/fasm.cc [ 98%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/pack.cc [ 99%] Building CXX object CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/generic -I/build/nextpnr-0.4/debian/build/generic/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o -c /build/nextpnr-0.4/generic/viaduct/fabulous/validity_check.cc [100%] Linking CXX executable nextpnr-generic-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-generic-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic-test.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_iostreams.so /usr/lib/i386-linux-gnu/libboost_system.so /usr/lib/i386-linux-gnu/libboost_thread.so /usr/lib/i386-linux-gnu/libboost_regex.so /usr/lib/i386-linux-gnu/libboost_chrono.so /usr/lib/i386-linux-gnu/libboost_date_time.so /usr/lib/i386-linux-gnu/libboost_atomic.so -lpthread /usr/lib/i386-linux-gnu/libpython3.11.so generated/3rdparty/googletest/libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' [100%] Built target nextpnr-generic-test make[3]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/generic/CMakeFiles 0 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' dh_auto_build -Bdebian/build/ice40 cd debian/build/ice40 && make -j8 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40 --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40/CMakeFiles /build/nextpnr-0.4/debian/build/ice40//CMakeFiles/progress.marks make -f CMakeFiles/Makefile2 all make[3]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/ice40 /build/nextpnr-0.4/debian/build/ice40/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/bba /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/bba /build/nextpnr-0.4/debian/build/ice40/bba/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' [ 1%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc [ 2%] Generating chipdb/chipdb-384.bba [ 2%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new cd /build/nextpnr-0.4/debian/build/ice40/bba && /usr/bin/c++ -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -std=gnu++14 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /build/nextpnr-0.4/bba/main.cc cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 3%] Generating chipdb/chipdb-1k.bba cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx1k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp1k.txt /usr/share/fpga-icestorm/chipdb/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 4%] Linking CXX executable bbasm cd /build/nextpnr-0.4/debian/build/ice40/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-z,relro -Wl,-z,now -Wl,--as-needed CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_system.so make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 4%] Built target bbasm [ 5%] Linking CXX static library libgtest.a cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 5%] Built target gtest make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' [ 6%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/c++ -DNO_GUI -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest_main.cc [ 7%] Linking CXX static library libgtest_main.a cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 7%] Built target gtest_main cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 7%] Generating chipdb/chipdb-5k.bba cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_up5k.txt /usr/share/fpga-icestorm/chipdb/chipdb-5k.txt > chipdb/chipdb-5k.bba.new cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 8%] Generating chipdb/chipdb-u4k.bba cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_u4k.txt /usr/share/fpga-icestorm/chipdb/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 9%] Generating chipdb/chipdb-8k.bba cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx8k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp8k.txt /usr/share/fpga-icestorm/chipdb/chipdb-8k.txt > chipdb/chipdb-8k.bba.new cd /build/nextpnr-0.4/debian/build/ice40/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 9%] Built target chipdb-ice40-bbas make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' [ 10%] Generating ice40/chipdb/chipdb-384.cc [ 11%] Generating ice40/chipdb/chipdb-1k.cc [ 11%] Generating ice40/chipdb/chipdb-u4k.cc [ 12%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 13%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 13%] Built target chipdb-ice40-bins make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' [ 13%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o [ 14%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o [ 15%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-1k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-384.cc [ 16%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o [ 17%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-5k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-u4k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /build/nextpnr-0.4/debian/build/ice40/ice40/chipdb/chipdb-8k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [ 22%] Built target chipdb-ice40 make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' cd /build/nextpnr-0.4/debian/build/ice40 && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40 /build/nextpnr-0.4/debian/build/ice40/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' [ 23%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o [ 24%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o [ 24%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc [ 25%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o [ 26%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc [ 27%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o [ 29%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o [ 29%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc [ 30%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc [ 31%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc [ 32%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc [ 32%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc [ 33%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 34%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc [ 35%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 36%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc [ 37%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc [ 38%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc [ 39%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 40%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc [ 41%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc /build/nextpnr-0.4/common/kernel/pybindings.cc: In function 'void nextpnr_ice40::init_python(const char*)': /build/nextpnr-0.4/common/kernel/pybindings.cc:319:22: warning: 'void Py_SetProgramName(const wchar_t*)' is deprecated [-Wdeprecated-declarations] 319 | Py_SetProgramName(program); | ~~~~~~~~~~~~~~~~~^~~~~~~~~ In file included from /usr/include/python3.11/Python.h:94, from /build/nextpnr-0.4/common/kernel/pybindings.h:24, from /build/nextpnr-0.4/common/kernel/pybindings.cc:23: /usr/include/python3.11/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ [ 42%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 43%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 45%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 46%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc [ 47%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc [ 48%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 49%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 81%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_iostreams.so /usr/lib/i386-linux-gnu/libboost_system.so /usr/lib/i386-linux-gnu/libboost_thread.so /usr/lib/i386-linux-gnu/libboost_regex.so /usr/lib/i386-linux-gnu/libboost_chrono.so /usr/lib/i386-linux-gnu/libboost_date_time.so /usr/lib/i386-linux-gnu/libboost_atomic.so -lpthread /usr/lib/i386-linux-gnu/libpython3.11.so [ 81%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DNO_GUI -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40/generated -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc [100%] Linking CXX executable nextpnr-ice40-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_iostreams.so /usr/lib/i386-linux-gnu/libboost_system.so /usr/lib/i386-linux-gnu/libboost_thread.so /usr/lib/i386-linux-gnu/libboost_regex.so /usr/lib/i386-linux-gnu/libboost_chrono.so /usr/lib/i386-linux-gnu/libboost_date_time.so /usr/lib/i386-linux-gnu/libboost_atomic.so -lpthread /usr/lib/i386-linux-gnu/libpython3.11.so generated/3rdparty/googletest/libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [100%] Built target nextpnr-ice40 make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' [100%] Built target nextpnr-ice40-test make[3]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40/CMakeFiles 0 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' dh_auto_build -Bdebian/build/ice40-qt cd debian/build/ice40-qt && make -j8 "INSTALL=install --strip-program=true" VERBOSE=1 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40-qt --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles /build/nextpnr-0.4/debian/build/ice40-qt//CMakeFiles/progress.marks make -f CMakeFiles/Makefile2 all make[3]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/ice40 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/ice40 /build/nextpnr-0.4/debian/build/ice40-qt/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/gui /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/bba /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/bba /build/nextpnr-0.4/debian/build/ice40-qt/bba/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/googletest/CMakeFiles/gtest.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest.dir/build make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 1%] Automatic MOC for target QtPropertyBrowser [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json None [ 1%] Generating chipdb/chipdb-384.bba cd /build/nextpnr-0.4/debian/build/ice40-qt/bba && /usr/bin/c++ -DWITH_HEAP -Dbbasm_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -std=gnu++14 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /build/nextpnr-0.4/bba/main.cc cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_lp384.txt /usr/share/fpga-icestorm/chipdb/chipdb-384.txt > chipdb/chipdb-384.bba.new [ 2%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest.dir/src/gtest-all.cc.o -MF CMakeFiles/gtest.dir/src/gtest-all.cc.o.d -o CMakeFiles/gtest.dir/src/gtest-all.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest-all.cc [ 3%] Automatic MOC for target gui_ice40 cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json None AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/c++ -dM -E -c /usr/share/cmake-3.25/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/c++ -dM -E -c /usr/share/cmake-3.25/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /build/nextpnr-0.4/gui/designwidget.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /build/nextpnr-0.4/gui/basewindow.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /build/nextpnr-0.4/gui/fpgaviewwidget.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /build/nextpnr-0.4/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /build/nextpnr-0.4/gui/worker.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /build/nextpnr-0.4/gui/ice40/mainwindow.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /build/nextpnr-0.4/gui/pythontab.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /build/nextpnr-0.4/gui/line_editor.h AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/imgui -I/build/nextpnr-0.4/3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/3rdparty/python-console -I/build/nextpnr-0.4/3rdparty/python-console/modified -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /build/nextpnr-0.4/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/usr/include/i386-linux-gnu/qt5 -I/usr/include/i386-linux-gnu/qt5/QtWidgets -I/usr/include/i386-linux-gnu/qt5/QtGui -I/usr/include/i386-linux-gnu/qt5/QtCore -I/usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -I/usr/include/c++/12 -I/usr/include/i386-linux-gnu/c++/12 -I/usr/include/c++/12/backward -I/usr/lib/gcc/i686-linux-gnu/12/include -I/usr/local/include -I/usr/include/i386-linux-gnu -I/usr/include --include /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoGen: Writing the parse cache file "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 3%] Built target QtPropertyBrowser_autogen make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 3%] Generating qrc_qtpropertybrowser.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/lib/qt5/bin/rcc --name qtpropertybrowser --output /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 4%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 4%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 5%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating MOC compilation "SRC:/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoGen: Writing the parse cache file "SRC:/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 6%] Built target gui_ice40_autogen make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 7%] Generating qrc_nextpnr.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/lib/qt5/bin/rcc --name nextpnr --output /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_nextpnr.cpp /build/nextpnr-0.4/gui/ice40/nextpnr.qrc [ 7%] Generating qrc_base.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/lib/qt5/bin/rcc --name base --output /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_base.cpp /build/nextpnr-0.4/gui/base.qrc cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/gui /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 8%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 10%] Generating chipdb/chipdb-1k.bba cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx1k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp1k.txt /usr/share/fpga-icestorm/chipdb/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 11%] Linking CXX executable bbasm cd /build/nextpnr-0.4/debian/build/ice40-qt/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_system.so [ 12%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 12%] Built target bbasm [ 13%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 13%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -fPIC -std=gnu++14 -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 13%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /build/nextpnr-0.4/gui/application.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /build/nextpnr-0.4/gui/basewindow.cc [ 14%] Linking CXX static library libgtest.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest.a "CMakeFiles/gtest.dir/src/gtest-all.cc.o" /usr/bin/ranlib libgtest.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 14%] Built target gtest [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /build/nextpnr-0.4/gui/designwidget.cc [ 15%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /build/nextpnr-0.4/gui/fpgaviewwidget.cc [ 16%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /build/nextpnr-0.4/gui/line_editor.cc make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4/3rdparty/googletest/googletest /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build.make generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 17%] Building CXX object generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/c++ -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -I/build/nextpnr-0.4/3rdparty/googletest/googletest -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -Wshadow -DGTEST_HAS_PTHREAD=1 -fexceptions -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -std=gnu++14 -MD -MT generated/3rdparty/googletest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -MF CMakeFiles/gtest_main.dir/src/gtest_main.cc.o.d -o CMakeFiles/gtest_main.dir/src/gtest_main.cc.o -c /build/nextpnr-0.4/3rdparty/googletest/googletest/src/gtest_main.cc [ 17%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /build/nextpnr-0.4/gui/lineshader.cc [ 18%] Linking CXX static library libgtest_main.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -P CMakeFiles/gtest_main.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/googletest && /usr/bin/cmake -E cmake_link_script CMakeFiles/gtest_main.dir/link.txt --verbose=1 /usr/bin/ar qc libgtest_main.a CMakeFiles/gtest_main.dir/src/gtest_main.cc.o /usr/bin/ranlib libgtest_main.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 18%] Built target gtest_main [ 19%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /build/nextpnr-0.4/gui/pyconsole.cc [ 20%] Linking CXX static library libQtPropertyBrowser.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 /usr/bin/ar qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o /usr/bin/ranlib libQtPropertyBrowser.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 20%] Built target QtPropertyBrowser [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /build/nextpnr-0.4/gui/pythontab.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /build/nextpnr-0.4/gui/treemodel.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /build/nextpnr-0.4/gui/worker.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /build/nextpnr-0.4/gui/ice40/mainwindow.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ColumnFormatter.cpp [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.cpp [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.BracketParseState.cpp cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 26%] Generating chipdb/chipdb-5k.bba cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_up5k.txt /usr/share/fpga-icestorm/chipdb/chipdb-5k.txt > chipdb/chipdb-5k.bba.new [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /build/nextpnr-0.4/3rdparty/python-console/ParseMessage.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /build/nextpnr-0.4/3rdparty/python-console/modified/pyredirector.cc [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /build/nextpnr-0.4/3rdparty/python-console/modified/pyinterpreter.cc [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_widgets.cpp [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_draw.cpp [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /build/nextpnr-0.4/3rdparty/imgui/imgui_demo.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /build/nextpnr-0.4/3rdparty/qtimgui/ImGuiRenderer.cpp [ 32%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /build/nextpnr-0.4/3rdparty/qtimgui/QtImGui.cpp [ 33%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_base.cpp [ 33%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -DWITH_HEAP -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/gui_ice40_autogen/include -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/gui/../ice40 -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui/../3rdparty/QtPropertyBrowser/src -I/build/nextpnr-0.4/gui/../3rdparty/imgui -I/build/nextpnr-0.4/gui/../3rdparty/qtimgui -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/../3rdparty/python-console -I/build/nextpnr-0.4/gui/../3rdparty/python-console/modified -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40/qrc_nextpnr.cpp [ 34%] Linking CXX static library libgui_ice40.a cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /build/nextpnr-0.4/debian/build/ice40-qt/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar-12" cr libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib-12" libgui_ice40.a make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 34%] Built target gui_ice40 cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 34%] Generating chipdb/chipdb-u4k.bba cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --slow /usr/share/fpga-icestorm/chipdb/timings_u4k.txt /usr/share/fpga-icestorm/chipdb/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 35%] Generating chipdb/chipdb-8k.bba cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/python3 /build/nextpnr-0.4/ice40/chipdb.py -p /build/nextpnr-0.4/ice40/constids.inc -g /build/nextpnr-0.4/ice40/gfx.h --fast /usr/share/fpga-icestorm/chipdb/timings_hx8k.txt --slow /usr/share/fpga-icestorm/chipdb/timings_lp8k.txt /usr/share/fpga-icestorm/chipdb/chipdb-8k.txt > chipdb/chipdb-8k.bba.new cd /build/nextpnr-0.4/debian/build/ice40-qt/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 35%] Built target chipdb-ice40-bbas make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 36%] Generating ice40/chipdb/chipdb-1k.cc [ 36%] Generating ice40/chipdb/chipdb-u4k.cc [ 37%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc [ 37%] Generating ice40/chipdb/chipdb-384.cc [ 38%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 38%] Built target chipdb-ice40-bins make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 39%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o [ 40%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o [ 40%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-384.cc [ 40%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o [ 41%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-1k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-5k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-u4k.cc /usr/bin/c++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DWITH_HEAP -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -std=gnu++14 -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /build/nextpnr-0.4/debian/build/ice40-qt/ice40/chipdb/chipdb-8k.cc make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 44%] Built target chipdb-ice40 make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/depend make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40-test.dir/DependInfo.cmake --color= make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' cd /build/nextpnr-0.4/debian/build/ice40-qt && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /build/nextpnr-0.4 /build/nextpnr-0.4 /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make -f CMakeFiles/nextpnr-ice40-test.dir/build.make CMakeFiles/nextpnr-ice40-test.dir/build make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[4]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' [ 44%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o [ 46%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o [ 46%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o [ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc [ 46%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o [ 47%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o [ 48%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o [ 48%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /build/nextpnr-0.4/common/kernel/basectx.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /build/nextpnr-0.4/common/kernel/archcheck.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc [ 49%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc [ 50%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o -c /build/nextpnr-0.4/common/kernel/bits.cc [ 51%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc [ 52%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o -c /build/nextpnr-0.4/common/kernel/command.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o -c /build/nextpnr-0.4/common/kernel/context.cc [ 55%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 56%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc [ 57%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc [ 58%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 59%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc /build/nextpnr-0.4/common/kernel/pybindings.cc: In function 'void nextpnr_ice40::init_python(const char*)': /build/nextpnr-0.4/common/kernel/pybindings.cc:319:22: warning: 'void Py_SetProgramName(const wchar_t*)' is deprecated [-Wdeprecated-declarations] 319 | Py_SetProgramName(program); | ~~~~~~~~~~~~~~~~~^~~~~~~~~ In file included from /usr/include/python3.11/Python.h:94, from /build/nextpnr-0.4/common/kernel/pybindings.h:24, from /build/nextpnr-0.4/common/kernel/pybindings.cc:23: /usr/include/python3.11/pylifecycle.h:37:38: note: declared here 37 | Py_DEPRECATED(3.11) PyAPI_FUNC(void) Py_SetProgramName(const wchar_t *); | ^~~~~~~~~~~~~~~~~ [ 60%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o -c /build/nextpnr-0.4/common/kernel/design_utils.cc [ 60%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 61%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o -c /build/nextpnr-0.4/common/kernel/embed.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o -c /build/nextpnr-0.4/common/kernel/handle_error.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o -c /build/nextpnr-0.4/common/kernel/idstring.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o -c /build/nextpnr-0.4/common/kernel/idstringlist.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o -c /build/nextpnr-0.4/common/kernel/log.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_assertions.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_namespaces.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o -c /build/nextpnr-0.4/common/kernel/nextpnr_types.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o -c /build/nextpnr-0.4/common/kernel/property.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o -c /build/nextpnr-0.4/common/kernel/pybindings.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o -c /build/nextpnr-0.4/common/kernel/report.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o -c /build/nextpnr-0.4/common/kernel/sdf.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o -c /build/nextpnr-0.4/common/kernel/str_ring_buffer.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o -c /build/nextpnr-0.4/common/kernel/svg.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o -c /build/nextpnr-0.4/common/kernel/timing.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o -c /build/nextpnr-0.4/common/place/detail_place_core.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o -c /build/nextpnr-0.4/common/place/parallel_refine.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o -c /build/nextpnr-0.4/common/place/place_common.cc [ 87%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_iostreams.so /usr/lib/i386-linux-gnu/libboost_system.so /usr/lib/i386-linux-gnu/libboost_thread.so /usr/lib/i386-linux-gnu/libboost_regex.so /usr/lib/i386-linux-gnu/libboost_chrono.so /usr/lib/i386-linux-gnu/libboost_date_time.so /usr/lib/i386-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/i386-linux-gnu/libQt5OpenGL.so.5.15.8 /usr/lib/i386-linux-gnu/libOpenGL.so /usr/lib/i386-linux-gnu/libGLX.so /usr/lib/i386-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/i386-linux-gnu/libpython3.11.so /usr/lib/i386-linux-gnu/libQt5Widgets.so.5.15.8 /usr/lib/i386-linux-gnu/libQt5Gui.so.5.15.8 /usr/lib/i386-linux-gnu/libQt5Core.so.5.15.8 [ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o -c /build/nextpnr-0.4/common/place/placer1.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o -c /build/nextpnr-0.4/common/place/placer_heap.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o -c /build/nextpnr-0.4/common/place/timing_opt.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o -c /build/nextpnr-0.4/common/route/router1.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o -c /build/nextpnr-0.4/common/route/router2.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o -c /build/nextpnr-0.4/3rdparty/json11/json11.cpp [ 91%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o -c /build/nextpnr-0.4/json/jsonwrite.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o -c /build/nextpnr-0.4/frontend/json_frontend.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o -c /build/nextpnr-0.4/ice40/arch.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o -c /build/nextpnr-0.4/ice40/arch_place.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o -c /build/nextpnr-0.4/ice40/arch_pybindings.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o -c /build/nextpnr-0.4/ice40/bitstream.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o -c /build/nextpnr-0.4/ice40/cells.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o -c /build/nextpnr-0.4/ice40/chains.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o -c /build/nextpnr-0.4/ice40/delay.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o -c /build/nextpnr-0.4/ice40/gfx.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o -c /build/nextpnr-0.4/ice40/main.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o -c /build/nextpnr-0.4/ice40/pack.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -DWITH_HEAP -Dnextpnr_ice40_test_EXPORTS -I/build/nextpnr-0.4/common/kernel -I/build/nextpnr-0.4/common/place -I/build/nextpnr-0.4/common/route -I/build/nextpnr-0.4/json -I/build/nextpnr-0.4/frontend -I/build/nextpnr-0.4/3rdparty/json11 -I/build/nextpnr-0.4/3rdparty/pybind11/include -I/usr/include/python3.11 -I/usr/include/eigen3 -I/build/nextpnr-0.4/ice40 -I/build/nextpnr-0.4/debian/build/ice40-qt/generated -I/build/nextpnr-0.4/gui/ice40 -I/build/nextpnr-0.4/gui -I/build/nextpnr-0.4/3rdparty/googletest/googletest/include -isystem /usr/include/i386-linux-gnu/qt5 -isystem /usr/include/i386-linux-gnu/qt5/QtWidgets -isystem /usr/include/i386-linux-gnu/qt5/QtGui -isystem /usr/include/i386-linux-gnu/qt5/QtCore -isystem /usr/lib/i386-linux-gnu/qt5/mkspecs/linux-g++ -isystem /usr/include/i386-linux-gnu/qt5/QtOpenGL -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -fPIC -std=gnu++14 -MD -MT CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o -c /build/nextpnr-0.4/ice40/pcf.cc [100%] Linking CXX executable nextpnr-ice40-test /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40-test.dir/link.txt --verbose=1 /usr/bin/c++ -g -O2 -ffile-prefix-map=/build/nextpnr-0.4=. -fstack-protector-strong -Wformat -Werror=format-security -Wdate-time -D_FORTIFY_SOURCE=2 -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40-test.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40-test.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40-test generated/3rdparty/googletest/libgtest_main.a /usr/lib/i386-linux-gnu/libboost_filesystem.so /usr/lib/i386-linux-gnu/libboost_program_options.so /usr/lib/i386-linux-gnu/libboost_iostreams.so /usr/lib/i386-linux-gnu/libboost_system.so /usr/lib/i386-linux-gnu/libboost_thread.so /usr/lib/i386-linux-gnu/libboost_regex.so /usr/lib/i386-linux-gnu/libboost_chrono.so /usr/lib/i386-linux-gnu/libboost_date_time.so /usr/lib/i386-linux-gnu/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/i386-linux-gnu/libQt5OpenGL.so.5.15.8 /usr/lib/i386-linux-gnu/libOpenGL.so /usr/lib/i386-linux-gnu/libGLX.so /usr/lib/i386-linux-gnu/libGLU.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/i386-linux-gnu/libpython3.11.so generated/3rdparty/googletest/libgtest.a /usr/lib/i386-linux-gnu/libQt5Widgets.so.5.15.8 /usr/lib/i386-linux-gnu/libQt5Gui.so.5.15.8 /usr/lib/i386-linux-gnu/libQt5Core.so.5.15.8 make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [100%] Built target nextpnr-ice40 make[4]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' [100%] Built target nextpnr-ice40-test make[3]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' /usr/bin/cmake -E cmake_progress_start /build/nextpnr-0.4/debian/build/ice40-qt/CMakeFiles 0 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[1]: Leaving directory '/build/nextpnr-0.4' rm -f debian/nextpnr-generic.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_auto_test make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_test -Bdebian/build/generic cd debian/build/generic && make -j8 test ARGS\+=--verbose ARGS\+=-j8 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/generic' Running tests... /usr/bin/ctest --force-new-ctest-process --verbose -j8 UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/generic/DartConfiguration.tcl UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/generic/DartConfiguration.tcl Test project /build/nextpnr-0.4/debian/build/generic Constructing a list of tests Done constructing a list of tests Updating test list for fixtures Added 0 tests to meet fixture requirements Checking test dependency graph... Checking test dependency graph end test 1 Start 1: generic-test 1: Test command: /build/nextpnr-0.4/debian/build/generic/nextpnr-generic-test 1: Working Directory: /build/nextpnr-0.4/debian/build/generic 1: Test timeout computed to be: 10000000 1: Running main() from gtest_main.cc 1: [==========] Running 0 tests from 0 test cases. 1: [==========] 0 tests from 0 test cases ran. (0 ms total) 1: [ PASSED ] 0 tests. 1/1 Test #1: generic-test ..................... Passed 0.02 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.03 sec make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' rm -fr -- /tmp/dh-xdg-rundir-cfkVqpNA dh_auto_test -Bdebian/build/ice40 cd debian/build/ice40 && make -j8 test ARGS\+=--verbose ARGS\+=-j8 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' Running tests... /usr/bin/ctest --force-new-ctest-process --verbose -j8 UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40/DartConfiguration.tcl UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40/DartConfiguration.tcl Test project /build/nextpnr-0.4/debian/build/ice40 Constructing a list of tests Done constructing a list of tests Updating test list for fixtures Added 0 tests to meet fixture requirements Checking test dependency graph... Checking test dependency graph end test 1 Start 1: ice40-test 1: Test command: /build/nextpnr-0.4/debian/build/ice40/nextpnr-ice40-test 1: Working Directory: /build/nextpnr-0.4/debian/build/ice40 1: Test timeout computed to be: 10000000 1: Running main() from gtest_main.cc 1: [==========] Running 0 tests from 0 test cases. 1: [==========] 0 tests from 0 test cases ran. (0 ms total) 1: [ PASSED ] 0 tests. 1/1 Test #1: ice40-test ....................... Passed 0.01 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.02 sec make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' rm -fr -- /tmp/dh-xdg-rundir-qFvGwD2J dh_auto_test -Bdebian/build/ice40-qt cd debian/build/ice40-qt && make -j8 test ARGS\+=--verbose ARGS\+=-j8 make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' Running tests... /usr/bin/ctest --force-new-ctest-process --verbose -j8 UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40-qt/DartConfiguration.tcl UpdateCTestConfiguration from :/build/nextpnr-0.4/debian/build/ice40-qt/DartConfiguration.tcl Test project /build/nextpnr-0.4/debian/build/ice40-qt Constructing a list of tests Done constructing a list of tests Updating test list for fixtures Added 0 tests to meet fixture requirements Checking test dependency graph... Checking test dependency graph end test 1 Start 1: ice40-test 1: Test command: /build/nextpnr-0.4/debian/build/ice40-qt/nextpnr-ice40-test 1: Working Directory: /build/nextpnr-0.4/debian/build/ice40-qt 1: Test timeout computed to be: 10000000 1: Running main() from gtest_main.cc 1: [==========] Running 0 tests from 0 test cases. 1: [==========] 0 tests from 0 test cases ran. (0 ms total) 1: [ PASSED ] 0 tests. 1/1 Test #1: ice40-test ....................... Passed 0.06 sec 100% tests passed, 0 tests failed out of 1 Total Test time (real) = 0.06 sec make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' rm -fr -- /tmp/dh-xdg-rundir-fQVC01VU make[1]: Leaving directory '/build/nextpnr-0.4' create-stamp debian/debhelper-build-stamp dh_prep -O-Bdebian/build rm -f -- debian/nextpnr-ice40.substvars debian/nextpnr-ice40-qt.substvars debian/nextpnr-generic.substvars rm -fr -- debian/.debhelper/generated/nextpnr-ice40/ debian/nextpnr-ice40/ debian/tmp/ debian/.debhelper/generated/nextpnr-ice40-qt/ debian/nextpnr-ice40-qt/ debian/.debhelper/generated/nextpnr-generic/ debian/nextpnr-generic/ rm -f debian/nextpnr-generic.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_auto_install make[1]: Entering directory '/build/nextpnr-0.4' dh_auto_install -Bdebian/build/generic --destdir=/build/nextpnr-0.4/debian/nextpnr-generic install -m0755 -d /build/nextpnr-0.4/debian/nextpnr-generic cd debian/build/generic && make -j8 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-generic AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/nextpnr-0.4/debian/build/generic' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/generic --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall make[3]: Entering directory '/build/nextpnr-0.4/debian/build/generic' make[3]: Nothing to be done for 'preinstall'. make[3]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /build/nextpnr-0.4/debian/nextpnr-generic/usr/bin/nextpnr-generic make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/generic' dh_auto_install -Bdebian/build/ice40 --destdir=/build/nextpnr-0.4/debian/nextpnr-ice40 install -m0755 -d /build/nextpnr-0.4/debian/nextpnr-ice40 cd debian/build/ice40 && make -j8 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-ice40 AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40 --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall make[3]: Entering directory '/build/nextpnr-0.4/debian/build/ice40' make[3]: Nothing to be done for 'preinstall'. make[3]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /build/nextpnr-0.4/debian/nextpnr-ice40/usr/bin/nextpnr-ice40 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40' dh_auto_install -Bdebian/build/ice40-qt --destdir=/build/nextpnr-0.4/debian/nextpnr-ice40-qt install -m0755 -d /build/nextpnr-0.4/debian/nextpnr-ice40-qt cd debian/build/ice40-qt && make -j8 install DESTDIR=/build/nextpnr-0.4/debian/nextpnr-ice40-qt AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' /usr/bin/cmake -S/build/nextpnr-0.4 -B/build/nextpnr-0.4/debian/build/ice40-qt --check-build-system CMakeFiles/Makefile.cmake 0 make -f CMakeFiles/Makefile2 preinstall make[3]: Entering directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[3]: Nothing to be done for 'preinstall'. make[3]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "None" -- Installing: /build/nextpnr-0.4/debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 make[2]: Leaving directory '/build/nextpnr-0.4/debian/build/ice40-qt' make[1]: Leaving directory '/build/nextpnr-0.4' dh_installdocs -O-Bdebian/build install -m0755 -d debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40 install -p -m0644 debian/copyright debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/copyright install -m0755 -d debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt install -p -m0644 debian/copyright debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/copyright install -m0755 -d debian/nextpnr-generic/usr/share/doc/nextpnr-generic install -p -m0644 debian/copyright debian/nextpnr-generic/usr/share/doc/nextpnr-generic/copyright dh_installchangelogs -O-Bdebian/build install -m0755 -d debian/nextpnr-generic/usr/share/doc/nextpnr-generic install -p -m0644 debian/.debhelper/generated/nextpnr-generic/dh_installchangelogs.dch.trimmed debian/nextpnr-generic/usr/share/doc/nextpnr-generic/changelog.Debian install -m0755 -d debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40 install -p -m0644 debian/.debhelper/generated/nextpnr-ice40/dh_installchangelogs.dch.trimmed debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/changelog.Debian install -m0755 -d debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt install -p -m0644 debian/.debhelper/generated/nextpnr-ice40-qt/dh_installchangelogs.dch.trimmed debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/changelog.Debian rm -f debian/nextpnr-generic.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_installexamples make[1]: Entering directory '/build/nextpnr-0.4' dh_installexamples --exclude=.gitignore install -m0755 -d debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/examples cd './ice40/examples/blinky/..' && find 'blinky' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /build/nextpnr-0.4/debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/examples cd './ice40/examples/floorplan/..' && find 'floorplan' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /build/nextpnr-0.4/debian/nextpnr-ice40/usr/share/doc/nextpnr-ice40/examples install -m0755 -d debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/examples cd './ice40/examples/blinky/..' && find 'blinky' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /build/nextpnr-0.4/debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/examples cd './ice40/examples/floorplan/..' && find 'floorplan' -type f -and ! \( -regex .\*\\.gitignore.\* \) -print0 | LC_ALL=C sort -z | xargs -0 -I {} cp --reflink=auto --parents -dp {} /build/nextpnr-0.4/debian/nextpnr-ice40-qt/usr/share/doc/nextpnr-ice40-qt/examples install -m0755 -d debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/__init__.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/bitstream.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/blinky.v debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/blinky_tb.v debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/README.md debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple.sh debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple_config.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simple_timing.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/simtest.sh debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples cp --reflink=auto -a ./generic/examples/write_fasm.py debian/nextpnr-generic/usr/share/doc/nextpnr-generic/examples make[1]: Leaving directory '/build/nextpnr-0.4' rm -f debian/nextpnr-generic.debhelper.log debian/nextpnr-ice40-qt.debhelper.log debian/nextpnr-ice40.debhelper.log debian/rules override_dh_installman make[1]: Entering directory '/build/nextpnr-0.4' help2man --name="Next Generation Place and Route" --version-string="0.4-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-generic/usr/bin/nextpnr-generic > debian/nextpnr-generic.1 help2man --name="Next Generation Place and Route" --version-string="0.4-1" --section=1 --manual="General Commands" --no-info --no-discard-stderr debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 > debian/nextpnr-ice40.1 dh_installman install -m0755 -d debian/nextpnr-ice40/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ice40.1 debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 install -m0755 -d debian/nextpnr-generic/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-generic.1 debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 install -m0755 -d debian/nextpnr-ice40-qt/usr/share/man/man1/ install -p -m0644 ./debian/nextpnr-ice40.1 debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 man-recode --to-code UTF-8 --suffix .dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 mv debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 chmod 0644 -- debian/nextpnr-ice40-qt/usr/share/man/man1/nextpnr-ice40.1 mv debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1.dh-new debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 chmod 0644 -- debian/nextpnr-generic/usr/share/man/man1/nextpnr-generic.1 mv debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1.dh-new debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 chmod 0644 -- debian/nextpnr-ice40/usr/share/man/man1/nextpnr-ice40.1 make[1]: Leaving directory '/build/nextpnr-0.4' dh_installinit -O-Bdebian/build dh_perl -O-Bdebian/build dh_link -O-Bdebian/build dh_strip_nondeterminism -O-Bdebian/build dh_compress -O-Bdebian/build cd debian/nextpnr-ice40 cd debian/nextpnr-ice40-qt cd debian/nextpnr-generic chmod a-x usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 chmod a-x usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 chmod a-x usr/share/doc/nextpnr-generic/changelog.Debian usr/share/man/man1/nextpnr-generic.1 gzip -9nf usr/share/doc/nextpnr-ice40/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 gzip -9nf usr/share/doc/nextpnr-ice40-qt/changelog.Debian usr/share/man/man1/nextpnr-ice40.1 gzip -9nf usr/share/doc/nextpnr-generic/changelog.Debian usr/share/man/man1/nextpnr-generic.1 cd '/build/nextpnr-0.4' cd '/build/nextpnr-0.4' cd '/build/nextpnr-0.4' dh_fixperms -O-Bdebian/build find debian/nextpnr-ice40 ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ice40-qt ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-generic ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s find debian/nextpnr-ice40/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-ice40-qt/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic/usr/share/doc -type f -a -true -a ! -regex 'debian/nextpnr-generic/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-generic/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 find debian/nextpnr-ice40-qt/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40 -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-generic -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 find debian/nextpnr-ice40-qt/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-ice40/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x find debian/nextpnr-generic/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x dh_missing -O-Bdebian/build dh_dwz -a -O-Bdebian/build dwz -- debian/nextpnr-ice40/usr/bin/nextpnr-ice40 dwz -- debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 dwz -- debian/nextpnr-generic/usr/bin/nextpnr-generic dh_strip -a -O-Bdebian/build install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/70 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/70/396047422e88b363cbb322ae14f9cc6bb331b4.debug install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/68 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/68/bdd1bb097da21f180b2a559083bf996fc4aef8.debug install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/52 objcopy --only-keep-debug --compress-debug-sections debian/nextpnr-generic/usr/bin/nextpnr-generic debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/52/b828bb407eaa30c523004be342588efe4cc1fc.debug chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/70/396047422e88b363cbb322ae14f9cc6bb331b4.debug strip --remove-section=.comment --remove-section=.note debian/nextpnr-ice40/usr/bin/nextpnr-ice40 chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/52/b828bb407eaa30c523004be342588efe4cc1fc.debug strip --remove-section=.comment --remove-section=.note debian/nextpnr-generic/usr/bin/nextpnr-generic objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-generic/dbgsym-root/usr/lib/debug/.build-id/52/b828bb407eaa30c523004be342588efe4cc1fc.debug debian/nextpnr-generic/usr/bin/nextpnr-generic install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/usr/share/doc ln -s nextpnr-generic debian/.debhelper/nextpnr-generic/dbgsym-root/usr/share/doc/nextpnr-generic-dbgsym install -m0755 -d debian/.debhelper/nextpnr-generic chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/68/bdd1bb097da21f180b2a559083bf996fc4aef8.debug strip --remove-section=.comment --remove-section=.note debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/lib/debug/.build-id/70/396047422e88b363cbb322ae14f9cc6bb331b4.debug debian/nextpnr-ice40/usr/bin/nextpnr-ice40 objcopy --add-gnu-debuglink debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/lib/debug/.build-id/68/bdd1bb097da21f180b2a559083bf996fc4aef8.debug debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/share/doc ln -s nextpnr-ice40 debian/.debhelper/nextpnr-ice40/dbgsym-root/usr/share/doc/nextpnr-ice40-dbgsym install -m0755 -d debian/.debhelper/nextpnr-ice40 install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/share/doc ln -s nextpnr-ice40-qt debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/usr/share/doc/nextpnr-ice40-qt-dbgsym install -m0755 -d debian/.debhelper/nextpnr-ice40-qt dh_makeshlibs -a -O-Bdebian/build rm -f debian/nextpnr-ice40/DEBIAN/shlibs rm -f debian/nextpnr-ice40-qt/DEBIAN/shlibs rm -f debian/nextpnr-generic/DEBIAN/shlibs dh_shlibdeps -a -O-Bdebian/build install -m0755 -d debian/nextpnr-generic/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-generic.substvars debian/nextpnr-generic/usr/bin/nextpnr-generic install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ice40-qt.substvars debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 install -m0755 -d debian/nextpnr-ice40/DEBIAN dpkg-shlibdeps -Tdebian/nextpnr-ice40.substvars debian/nextpnr-ice40/usr/bin/nextpnr-ice40 dpkg-shlibdeps: warning: package could avoid a useless dependency if debian/nextpnr-ice40-qt/usr/bin/nextpnr-ice40 was not linked against libOpenGL.so.0 (it uses none of the library's symbols) dh_installdeb -O-Bdebian/build install -m0755 -d debian/nextpnr-ice40/DEBIAN install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN install -m0755 -d debian/nextpnr-generic/DEBIAN dh_gencontrol -O-Bdebian/build install -m0755 -d debian/nextpnr-generic/DEBIAN echo misc:Depends= >> debian/nextpnr-generic.substvars echo misc:Pre-Depends= >> debian/nextpnr-generic.substvars install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/.debhelper/nextpnr-generic/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=nextpnr-generic-dbgsym "-DDepends=nextpnr-generic (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-generic" -DBuild-Ids=52b828bb407eaa30c523004be342588efe4cc1fc -DSection=debug -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/nextpnr-ice40/DEBIAN echo misc:Depends= >> debian/nextpnr-ice40.substvars echo misc:Pre-Depends= >> debian/nextpnr-ice40.substvars install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ice40 -ldebian/changelog -Tdebian/nextpnr-ice40.substvars -Pdebian/.debhelper/nextpnr-ice40/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=nextpnr-ice40-dbgsym "-DDepends=nextpnr-ice40 (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ice40" -DBuild-Ids=70396047422e88b363cbb322ae14f9cc6bb331b4 -DSection=debug -UMulti-Arch -UReplaces -UBreaks install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN echo misc:Depends= >> debian/nextpnr-ice40-qt.substvars echo misc:Pre-Depends= >> debian/nextpnr-ice40-qt.substvars install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/.debhelper/nextpnr-ice40-qt/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -UBuilt-Using -DAuto-Built-Package=debug-symbols -UProtected -DPackage=nextpnr-ice40-qt-dbgsym "-DDepends=nextpnr-ice40-qt (= \${binary:Version})" "-DDescription=debug symbols for nextpnr-ice40-qt" -DBuild-Ids=68bdd1bb097da21f180b2a559083bf996fc4aef8 -DSection=debug -UMulti-Arch -UReplaces -UBreaks chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-generic -ldebian/changelog -Tdebian/nextpnr-generic.substvars -Pdebian/nextpnr-generic chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ice40-qt -ldebian/changelog -Tdebian/nextpnr-ice40-qt.substvars -Pdebian/nextpnr-ice40-qt chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/control dpkg-gencontrol -pnextpnr-ice40 -ldebian/changelog -Tdebian/nextpnr-ice40.substvars -Pdebian/nextpnr-ice40 chmod 0644 -- debian/nextpnr-generic/DEBIAN/control chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/control chmod 0644 -- debian/nextpnr-ice40/DEBIAN/control dh_md5sums -O-Bdebian/build install -m0755 -d debian/nextpnr-ice40/DEBIAN cd debian/nextpnr-ice40 >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums install -m0755 -d debian/nextpnr-ice40-qt/DEBIAN install -m0755 -d debian/nextpnr-generic/DEBIAN cd debian/nextpnr-generic >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums cd debian/nextpnr-ice40-qt >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-generic/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-generic/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-generic/dbgsym-root/DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ice40/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-ice40/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/nextpnr-ice40-qt/DEBIAN/md5sums install -m0755 -d debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN cd debian/.debhelper/nextpnr-ice40-qt/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-ice40/dbgsym-root/DEBIAN/md5sums chmod 0644 -- debian/.debhelper/nextpnr-ice40-qt/dbgsym-root/DEBIAN/md5sums dh_builddeb -O-Bdebian/build dpkg-deb --root-owner-group --build debian/nextpnr-ice40 .. dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40/dbgsym-root .. dpkg-deb --root-owner-group --build debian/nextpnr-ice40-qt .. dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-ice40-qt/dbgsym-root .. dpkg-deb --root-owner-group --build debian/nextpnr-generic .. dpkg-deb --root-owner-group --build debian/.debhelper/nextpnr-generic/dbgsym-root .. dpkg-deb: building package 'nextpnr-generic-dbgsym' in '../nextpnr-generic-dbgsym_0.4-1_i386.deb'. dpkg-deb: building package 'nextpnr-ice40-qt-dbgsym' in '../nextpnr-ice40-qt-dbgsym_0.4-1_i386.deb'. dpkg-deb: building package 'nextpnr-generic' in '../nextpnr-generic_0.4-1_i386.deb'. dpkg-deb: building package 'nextpnr-ice40' in '../nextpnr-ice40_0.4-1_i386.deb'. dpkg-deb: building package 'nextpnr-ice40-dbgsym' in '../nextpnr-ice40-dbgsym_0.4-1_i386.deb'. dpkg-deb: building package 'nextpnr-ice40-qt' in '../nextpnr-ice40-qt_0.4-1_i386.deb'. dpkg-genbuildinfo --build=binary -O../nextpnr_0.4-1_i386.buildinfo dpkg-genchanges --build=binary -O../nextpnr_0.4-1_i386.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env I: removing directory /srv/workspace/pbuilder/25201 and its subdirectories I: Current time: Tue Apr 18 02:41:56 -12 2023 I: pbuilder-time-stamp: 1681828916