Diff of the two buildlogs: -- --- b1/build.log 2024-05-06 02:20:45.729315545 +0000 +++ b2/build.log 2024-05-06 03:13:17.323301691 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Sun May 5 12:12:17 -12 2024 -I: pbuilder-time-stamp: 1714954337 +I: Current time: Mon May 6 16:23:59 +14 2024 +I: pbuilder-time-stamp: 1714962239 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/unstable-reproducible-base.tgz] I: copying local configuration @@ -48,52 +48,84 @@ dpkg-source: info: applying 0026-Quiet-write-rst-command-ref-manual.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/27830/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/D01_modify_environment starting +debug: Running on virt64z. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 May 6 02:24 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='armhf' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=3 ' - DISTRIBUTION='unstable' - HOME='/root' - HOST_ARCH='armhf' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="21" [3]="1" [4]="release" [5]="arm-unknown-linux-gnueabihf") + BASH_VERSION='5.2.21(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=armhf + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=4 ' + DIRSTACK=() + DISTRIBUTION=unstable + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=arm + HOST_ARCH=armhf IFS=' ' - INVOCATION_ID='12ded84c0c3b4864970d39c0a414e463' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='27830' - PS1='# ' - PS2='> ' + INVOCATION_ID=d6a1bdfe100245bdabda5c0a9ab66370 + LANG=C + LANGUAGE=it_CH:it + LC_ALL=C + MACHTYPE=arm-unknown-linux-gnueabihf + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnueabihf + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=12355 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.STrFl8w4/pbuilderrc_bbJx --distribution unstable --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.STrFl8w4/b1 --logfile b1/build.log yosys_0.33-5.dsc' - SUDO_GID='113' - SUDO_UID='107' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://10.0.0.15:3142/' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.STrFl8w4/pbuilderrc_rwMt --distribution unstable --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.STrFl8w4/b2 --logfile b2/build.log yosys_0.33-5.dsc' + SUDO_GID=110 + SUDO_UID=103 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://10.0.0.15:3142/ I: uname -a - Linux virt32c 6.1.0-20-armmp-lpae #1 SMP Debian 6.1.85-1 (2024-04-11) armv7l GNU/Linux + Linux i-capture-the-hostname 6.1.0-20-arm64 #1 SMP Debian 6.1.85-1 (2024-04-11) aarch64 GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 May 2 07:44 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/27830/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 May 5 07:44 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -431,7 +463,7 @@ Get: 236 http://deb.debian.org/debian unstable/main armhf texlive-publishers all 2023.20240207-1 [22.6 MB] Get: 237 http://deb.debian.org/debian unstable/main armhf texlive-science all 2023.20240207-1 [3804 kB] Get: 238 http://deb.debian.org/debian unstable/main armhf txt2man all 1.7.1-4 [35.4 kB] -Fetched 1034 MB in 26s (40.2 MB/s) +Fetched 1034 MB in 18s (58.6 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19445 files and directories currently installed.) @@ -1207,8 +1239,8 @@ Setting up tzdata (2024a-4) ... Current default time zone: 'Etc/UTC' -Local time is now: Mon May 6 00:15:55 UTC 2024. -Universal Time is now: Mon May 6 00:15:55 UTC 2024. +Local time is now: Mon May 6 02:26:29 UTC 2024. +Universal Time is now: Mon May 6 02:26:29 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17029-2) ... @@ -1442,7 +1474,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/yosys-0.33/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.33-5_source.changes +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for unstable +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/yosys-0.33/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.33-5_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.33-5 dpkg-buildpackage: info: source distribution unstable @@ -1453,7 +1489,7 @@ debian/rules clean PREFIX=/usr dh clean --with=python3 dh_auto_clean - make -j3 clean + make -j4 clean make[1]: Entering directory '/build/reproducible-path/yosys-0.33' rm -rf share rm -rf kernel/*.pyh @@ -1536,24 +1572,24 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.33' dh_auto_build -- all - make -j3 "INSTALL=install --strip-program=true" all + make -j4 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/reproducible-path/yosys-0.33' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ -gcc -o kernel/driver.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc -mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.33 (git sha1 2584903a060)\"; }" > kernel/version_2584903a060.cc mkdir -p techlibs/common +mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.33 (git sha1 2584903a060)\"; }" > kernel/version_2584903a060.cc +gcc -o kernel/driver.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc gcc -o kernel/log.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc @@ -1583,6 +1619,20 @@ gcc -o kernel/fmt.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc +mkdir -p libs/bigint/ +gcc -o libs/bigint/BigIntegerAlgorithms.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc +mkdir -p libs/bigint/ +gcc -o libs/bigint/BigInteger.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc +mkdir -p libs/bigint/ +gcc -o libs/bigint/BigIntegerUtils.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc +mkdir -p libs/bigint/ +gcc -o libs/bigint/BigUnsigned.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc +mkdir -p libs/bigint/ +gcc -o libs/bigint/BigUnsignedInABase.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc +mkdir -p libs/sha1/ +gcc -o libs/sha1/sha1.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp +mkdir -p libs/json11/ +gcc -o libs/json11/json11.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp kernel/satgen.cc: In member function 'Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); @@ -1602,20 +1652,6 @@ kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here 1259 | int undef_srst; | ^~~~~~~~~~ -mkdir -p libs/bigint/ -gcc -o libs/bigint/BigIntegerAlgorithms.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc -mkdir -p libs/bigint/ -gcc -o libs/bigint/BigInteger.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc -mkdir -p libs/bigint/ -gcc -o libs/bigint/BigIntegerUtils.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc -mkdir -p libs/bigint/ -gcc -o libs/bigint/BigUnsigned.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc -mkdir -p libs/bigint/ -gcc -o libs/bigint/BigUnsignedInABase.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc -mkdir -p libs/sha1/ -gcc -o libs/sha1/sha1.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp -mkdir -p libs/json11/ -gcc -o libs/json11/json11.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ @@ -1641,10 +1677,6 @@ | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc -mkdir -p libs/minisat/ -gcc -o libs/minisat/System.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc -mkdir -p libs/fst/ -gcc -o libs/fst/fstapi.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': @@ -1658,6 +1690,10 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ +mkdir -p libs/minisat/ +gcc -o libs/minisat/System.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc +mkdir -p libs/fst/ +gcc -o libs/fst/fstapi.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ @@ -2002,10 +2038,6 @@ gcc -o passes/sat/fminit.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/recover_names.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc -mkdir -p passes/sat/ -gcc -o passes/sat/qbfsat.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc -mkdir -p passes/sat/ -gcc -o passes/sat/synthprop.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc In file included from ./kernel/yosys.h:300, from passes/sat/recover_names.cc:20: passes/sat/recover_names.cc: In member function 'void {anonymous}::RecoverNamesWorker::analyse_mod(Yosys::RTLIL::Module*)': @@ -2023,6 +2055,10 @@ | | | long unsigned int | %016llx +mkdir -p passes/sat/ +gcc -o passes/sat/qbfsat.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc +mkdir -p passes/sat/ +gcc -o passes/sat/synthprop.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ @@ -2262,6 +2298,8 @@ -> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c ./depends.sh "gcc" `dirname src/bdd/llb/llb2Flow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p passes/techmap/ +gcc -o passes/techmap/filterlib.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc -> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c ./depends.sh "gcc" `dirname src/bdd/llb/llb2Dump.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -2301,8 +2339,6 @@ -> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Constr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p passes/techmap/ -gcc -o passes/techmap/filterlib.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -2363,68 +2399,14 @@ -> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c ./depends.sh "gcc" `dirname src/bdd/dsd/dsdProc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new -> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c ./depends.sh "gcc" `dirname src/bdd/dsd/dsdMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h @@ -2450,17 +2432,17 @@ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c mkdir -p share/include/kernel/ +./depends.sh "gcc" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d cp "./"/kernel/macc.h share/include/kernel/macc.h -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ @@ -2479,12 +2461,81 @@ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c +./depends.sh "gcc" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d +./depends.sh "gcc" `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c mkdir -p share/include/libs/sha1/ +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ @@ -2492,10 +2543,10 @@ mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c mkdir -p share/include/backends/rtlil/ +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h @@ -2509,14 +2560,19 @@ mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h +./depends.sh "gcc" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v @@ -2524,21 +2580,22 @@ cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d mkdir -p share/anlogic -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share @@ -2549,10 +2606,13 @@ cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share @@ -2561,101 +2621,119 @@ cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c mkdir -p share/ecp5 +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v +./depends.sh "gcc" `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d mkdir -p share/gatemate --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh @@ -2664,27 +2742,33 @@ cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c mkdir -p share/gowin +./depends.sh "gcc" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin -cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v @@ -2695,35 +2779,41 @@ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c mkdir -p share/ice40 +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 --> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v @@ -2732,11 +2822,14 @@ mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv @@ -2748,24 +2841,27 @@ mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common --> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common @@ -2778,22 +2874,25 @@ cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt mkdir -p share/intel_alm/common --> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v -./depends.sh "gcc" `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v @@ -2803,13 +2902,19 @@ cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c mkdir -p share/lattice +./depends.sh "gcc" `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v @@ -2827,16 +2932,19 @@ cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt @@ -2854,13 +2962,19 @@ cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v +./depends.sh "gcc" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt @@ -2869,12 +2983,9 @@ mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic @@ -2885,198 +2996,33 @@ cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v -mkdir -p share/sf2 -cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v -mkdir -p share/sf2 -cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v -mkdir -p share/sf2 -cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v -mkdir -p share/xilinx --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d -cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v -mkdir -p share/xilinx -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c -mkdir -p share/xilinx -./depends.sh "gcc" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d -cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v -mkdir -p share/xilinx --> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d -cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v -mkdir -p share/xilinx -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v -mkdir -p kernel/ -gcc -o kernel/version_2584903a060.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_2584903a060.cc --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c -mkdir -p kernel/ -./depends.sh "gcc" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d -gcc -o kernel/register.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCof.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddClip.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddCache.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddApa.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c @@ -3085,93 +3031,159 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/rtlil/ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d -gcc -o frontends/rtlil/rtlil_parser.tab.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c ./depends.sh "gcc" `dirname src/aig/hop/hopUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c ./depends.sh "gcc" `dirname src/aig/hop/hopTruth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopTable.c ./depends.sh "gcc" `dirname src/aig/hop/hopTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopOper.c ./depends.sh "gcc" `dirname src/aig/hop/hopOper.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopObj.c ./depends.sh "gcc" `dirname src/aig/hop/hopObj.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c ./depends.sh "gcc" `dirname src/aig/hop/hopMem.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c ./depends.sh "gcc" `dirname src/aig/hop/hopMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/quicklogic -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c +cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v ./depends.sh "gcc" `dirname src/aig/hop/hopDfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v +mkdir -p share/sf2 +cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v +mkdir -p share/sf2 +cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v +mkdir -p share/sf2 +cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v -> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c ./depends.sh "gcc" `dirname src/aig/hop/hopCheck.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v -> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c ./depends.sh "gcc" `dirname src/aig/hop/hopBalance.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c -./depends.sh "gcc" `dirname src/aig/ivy/ivyUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt +mkdir -p share/xilinx +-> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c +cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v ./depends.sh "gcc" `dirname src/aig/ivy/ivyTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d +./depends.sh "gcc" `dirname src/aig/ivy/ivyUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt +mkdir -p share/xilinx +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyShow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c ./depends.sh "gcc" `dirname src/aig/ivy/ivySeq.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyRwr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c -./depends.sh "gcc" `dirname src/aig/ivy/ivyResyn.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c +./depends.sh "gcc" `dirname src/aig/ivy/ivyResyn.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d ./depends.sh "gcc" `dirname src/aig/ivy/ivyOper.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyObj.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMulti.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMem.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3187,36 +3199,58 @@ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyFanout.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyDsd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c +mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyDfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v +cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v +mkdir -p kernel/ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c +gcc -o kernel/version_2584903a060.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_2584903a060.cc ./depends.sh "gcc" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p kernel/ +gcc -o kernel/register.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCut.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCheck.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCanon.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyBalance.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c ./depends.sh "gcc" `dirname src/aig/gia/giaUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3228,10 +3262,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c ./depends.sh "gcc" `dirname src/aig/gia/giaTruth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c ./depends.sh "gcc" `dirname src/aig/gia/giaTranStoch.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c ./depends.sh "gcc" `dirname src/aig/gia/giaTis.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3243,116 +3277,116 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c ./depends.sh "gcc" `dirname src/aig/gia/giaSweeper.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c ./depends.sh "gcc" `dirname src/aig/gia/giaSweep.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupps.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupp.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupMin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c ./depends.sh "gcc" `dirname src/aig/gia/giaStr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c ./depends.sh "gcc" `dirname src/aig/gia/giaStoch.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c ./depends.sh "gcc" `dirname src/aig/gia/giaStg.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c ./depends.sh "gcc" `dirname src/aig/gia/giaSplit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c ./depends.sh "gcc" `dirname src/aig/gia/giaSpeedup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSort.c ./depends.sh "gcc" `dirname src/aig/gia/giaSort.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c ./depends.sh "gcc" `dirname src/aig/gia/giaSimBase.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c ./depends.sh "gcc" `dirname src/aig/gia/giaSim2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c ./depends.sh "gcc" `dirname src/aig/gia/giaSim.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSif.c ./depends.sh "gcc" `dirname src/aig/gia/giaSif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink7.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink6.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShow.c ./depends.sh "gcc" `dirname src/aig/gia/giaShow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScript.c ./depends.sh "gcc" `dirname src/aig/gia/giaScript.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c ./depends.sh "gcc" `dirname src/aig/gia/giaScl.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c ./depends.sh "gcc" `dirname src/aig/gia/giaSat3.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatSyn.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatoko.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatMap.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatLut.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatLE.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatEdge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c ./depends.sh "gcc" `dirname src/aig/gia/giaRex.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c ./depends.sh "gcc" `dirname src/aig/gia/giaRetime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub6.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub3.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c ./depends.sh "gcc" `dirname src/aig/gia/giaReshape2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c ./depends.sh "gcc" `dirname src/aig/gia/giaReshape1.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c ./depends.sh "gcc" `dirname src/aig/gia/giaQbf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/aig/gia/giaPf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c @@ -3378,15 +3412,17 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaMinLut.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMini.c ./depends.sh "gcc" `dirname src/aig/gia/giaMini.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c ./depends.sh "gcc" `dirname src/aig/gia/giaMfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c +mkdir -p frontends/rtlil/ ./depends.sh "gcc" `dirname src/aig/gia/giaMem.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d +gcc -o frontends/rtlil/rtlil_parser.tab.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c ./depends.sh "gcc" `dirname src/aig/gia/giaMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d @@ -3408,10 +3444,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso2.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c ./depends.sh "gcc" `dirname src/aig/gia/giaIiff.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3441,11 +3477,9 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c ./depends.sh "gcc" `dirname src/aig/gia/giaFrames.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaForce.c -mkdir -p frontends/rtlil/ ./depends.sh "gcc" `dirname src/aig/gia/giaForce.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d -gcc -o frontends/rtlil/rtlil_lexer.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c ./depends.sh "gcc" `dirname src/aig/gia/giaFanout.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d @@ -3473,22 +3507,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c ./depends.sh "gcc" `dirname src/aig/gia/giaEmbed.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaEdge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDup.c ./depends.sh "gcc" `dirname src/aig/gia/giaDup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/aig/gia/giaDfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c ./depends.sh "gcc" `dirname src/aig/gia/giaDeep.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c ./depends.sh "gcc" `dirname src/aig/gia/giaDecs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCut.c ./depends.sh "gcc" `dirname src/aig/gia/giaCut.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3603,8 +3637,6 @@ -> ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c ./depends.sh "gcc" `dirname src/aig/saig/saigIsoFast.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/verilog/ -gcc -o frontends/verilog/verilog_parser.tab.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -> ABC: `` Generating dependency: /src/aig/saig/saigIso.c ./depends.sh "gcc" `dirname src/aig/saig/saigIso.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3616,16 +3648,18 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigDup.c ./depends.sh "gcc" `dirname src/aig/saig/saigDup.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c ./depends.sh "gcc" `dirname src/aig/saig/saigDual.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c ./depends.sh "gcc" `dirname src/aig/saig/saigConstr2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c ./depends.sh "gcc" `dirname src/aig/saig/saigConstr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p frontends/rtlil/ +gcc -o frontends/rtlil/rtlil_lexer.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c ./depends.sh "gcc" `dirname src/aig/saig/saigCone.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3767,6 +3801,8 @@ -> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c ./depends.sh "gcc" `dirname src/proof/ssw/sswCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p frontends/verilog/ +gcc -o frontends/verilog/verilog_parser.tab.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c ./depends.sh "gcc" `dirname src/proof/ssw/sswConstr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3776,13 +3812,9 @@ -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c ./depends.sh "gcc" `dirname src/proof/ssw/sswClass.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/verilog/ -flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l -> ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c ./depends.sh "gcc" `dirname src/proof/ssw/sswBmc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p passes/pmgen/ -gcc -o passes/pmgen/test_pmgen.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc -> ABC: `` Generating dependency: /src/proof/ssw/sswAig.c ./depends.sh "gcc" `dirname src/proof/ssw/sswAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3843,9 +3875,13 @@ -> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p frontends/verilog/ +flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p passes/pmgen/ +gcc -o passes/pmgen/test_pmgen.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc -> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4038,6 +4074,8 @@ -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c ./depends.sh "gcc" `dirname src/proof/int/intCtrex.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p passes/pmgen/ +gcc -o passes/pmgen/ice40_wrapcarry.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc -> ABC: `` Generating dependency: /src/proof/int/intCore.c ./depends.sh "gcc" `dirname src/proof/int/intCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4286,8 +4324,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcLoad.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d -mkdir -p passes/pmgen/ -gcc -o passes/pmgen/ice40_wrapcarry.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcInse.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d @@ -4307,6 +4343,8 @@ -> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcExpand.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p passes/pmgen/ +gcc -o passes/pmgen/xilinx_dsp.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcEco.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4337,6 +4375,8 @@ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p ./ +gcc -o yosys-filterlib -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4400,6 +4440,12 @@ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v +mkdir -p frontends/verilog/ +gcc -o frontends/verilog/verilog_lexer.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverApi.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4636,22 +4682,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtDec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c -./depends.sh "gcc" `dirname src/opt/nwk/nwkUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c -> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c +./depends.sh "gcc" `dirname src/opt/nwk/nwkUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d ./depends.sh "gcc" `dirname src/opt/nwk/nwkTiming.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkStrash.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4661,8 +4707,6 @@ -> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkObj.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p passes/pmgen/ -gcc -o passes/pmgen/xilinx_dsp.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkMerge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4717,65 +4761,63 @@ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p ./ -gcc -o yosys-filterlib -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c -./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c +./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d ./depends.sh "gcc" `dirname src/opt/lpk/lpkCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resWin.c ./depends.sh "gcc" `dirname src/opt/res/resWin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resStrash.c ./depends.sh "gcc" `dirname src/opt/res/resStrash.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resSim.c ./depends.sh "gcc" `dirname src/opt/res/resSim.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resSat.c ./depends.sh "gcc" `dirname src/opt/res/resSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resFilter.c ./depends.sh "gcc" `dirname src/opt/res/resFilter.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resDivs.c ./depends.sh "gcc" `dirname src/opt/res/resDivs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resCore.c ./depends.sh "gcc" `dirname src/opt/res/resCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretTime.c -./depends.sh "gcc" `dirname src/opt/fret/fretTime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretInit.c +./depends.sh "gcc" `dirname src/opt/fret/fretTime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d ./depends.sh "gcc" `dirname src/opt/fret/fretInit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c -./depends.sh "gcc" `dirname src/opt/fret/fretFlow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c +./depends.sh "gcc" `dirname src/opt/fret/fretFlow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d ./depends.sh "gcc" `dirname src/opt/fret/fretMain.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c ./depends.sh "gcc" `dirname src/opt/ret/retLvalue.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retInit.c ./depends.sh "gcc" `dirname src/opt/ret/retInit.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c ./depends.sh "gcc" `dirname src/opt/ret/retIncrem.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retFlow.c ./depends.sh "gcc" `dirname src/opt/ret/retFlow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retDelay.c ./depends.sh "gcc" `dirname src/opt/ret/retDelay.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retCore.c ./depends.sh "gcc" `dirname src/opt/ret/retCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retArea.c ./depends.sh "gcc" `dirname src/opt/ret/retArea.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4784,52 +4826,46 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c ./depends.sh "gcc" `dirname src/opt/sim/simSymStr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c ./depends.sh "gcc" `dirname src/opt/sim/simSymSim.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c ./depends.sh "gcc" `dirname src/opt/sim/simSymSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSym.c ./depends.sh "gcc" `dirname src/opt/sim/simSym.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c ./depends.sh "gcc" `dirname src/opt/sim/simSwitch.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c -mkdir -p share/gatemate ./depends.sh "gcc" `dirname src/opt/sim/simSupp.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d -cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib -mkdir -p share/gatemate -cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v -mkdir -p frontends/verilog/ -gcc -o frontends/verilog/verilog_lexer.o -c -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSeq.c -./depends.sh "gcc" `dirname src/opt/sim/simSeq.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simMan.c +./depends.sh "gcc" `dirname src/opt/sim/simSeq.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d ./depends.sh "gcc" `dirname src/opt/sim/simMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsWin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c -./depends.sh "gcc" `dirname src/opt/mfs/mfsStrash.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c +./depends.sh "gcc" `dirname src/opt/mfs/mfsStrash.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d ./depends.sh "gcc" `dirname src/opt/mfs/mfsSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsResub.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsInter.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsDiv.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4838,28 +4874,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrPrint.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrLib.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrExp.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrEva.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrDec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c ./depends.sh "gcc" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c ./depends.sh "gcc" `dirname src/opt/fxch/FxchMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4946,40 +4982,40 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mem/mem.c ./depends.sh "gcc" `dirname src/misc/mem/mem.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTrav.c ./depends.sh "gcc" `dirname src/misc/tim/timTrav.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTime.c ./depends.sh "gcc" `dirname src/misc/tim/timTime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timMan.c ./depends.sh "gcc" `dirname src/misc/tim/timMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timDump.c ./depends.sh "gcc" `dirname src/misc/tim/timDump.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timBox.c ./depends.sh "gcc" `dirname src/misc/tim/timBox.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmTable.c ./depends.sh "gcc" `dirname src/misc/nm/nmTable.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmApi.c ./depends.sh "gcc" `dirname src/misc/nm/nmApi.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSort.c ./depends.sh "gcc" `dirname src/misc/util/utilSort.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSignal.c ./depends.sh "gcc" `dirname src/misc/util/utilSignal.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilNam.c ./depends.sh "gcc" `dirname src/misc/util/utilNam.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c ./depends.sh "gcc" `dirname src/misc/util/utilIsop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilFile.c ./depends.sh "gcc" `dirname src/misc/util/utilFile.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4988,10 +5024,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilCex.c ./depends.sh "gcc" `dirname src/misc/util/utilCex.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilBridge.c ./depends.sh "gcc" `dirname src/misc/util/utilBridge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/stmm.c ./depends.sh "gcc" `dirname src/misc/st/stmm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5000,37 +5036,37 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcUtils.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/mvc/mvcSort.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcPrint.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcLits.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcList.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcDivide.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcCube.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcCover.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5045,19 +5081,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilReader.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5075,22 +5111,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilGen.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilFile.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilCube.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5098,35 +5134,35 @@ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c -./depends.sh "gcc" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c +./depends.sh "gcc" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d ./depends.sh "gcc" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c ./depends.sh "gcc" `dirname src/map/mpm/mpmUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c -./depends.sh "gcc" `dirname src/map/mpm/mpmTruth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c +./depends.sh "gcc" `dirname src/map/mpm/mpmTruth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d ./depends.sh "gcc" `dirname src/map/mpm/mpmPre.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMap.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c ./depends.sh "gcc" `dirname src/map/mpm/mpmLib.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c ./depends.sh "gcc" `dirname src/map/mpm/mpmGates.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c ./depends.sh "gcc" `dirname src/map/mpm/mpmDsd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5177,13 +5213,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinMan.c ./depends.sh "gcc" `dirname src/map/cov/covMinMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c ./depends.sh "gcc" `dirname src/map/cov/covMinEsop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMan.c ./depends.sh "gcc" `dirname src/map/cov/covMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covCore.c ./depends.sh "gcc" `dirname src/map/cov/covCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5198,16 +5234,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRead.c ./depends.sh "gcc" `dirname src/map/amap/amapRead.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c ./depends.sh "gcc" `dirname src/map/amap/amapPerm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapParse.c ./depends.sh "gcc" `dirname src/map/amap/amapParse.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapOutput.c ./depends.sh "gcc" `dirname src/map/amap/amapOutput.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c ./depends.sh "gcc" `dirname src/map/amap/amapMerge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5216,16 +5252,15 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMan.c ./depends.sh "gcc" `dirname src/map/amap/amapMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c ./depends.sh "gcc" `dirname src/map/amap/amapLiberty.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLib.c ./depends.sh "gcc" `dirname src/map/amap/amapLib.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapGraph.c ./depends.sh "gcc" `dirname src/map/amap/amapGraph.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapCore.c ./depends.sh "gcc" `dirname src/map/amap/amapCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5235,42 +5270,43 @@ -> ABC: `` Generating dependency: /src/map/if/ifTune.c ./depends.sh "gcc" `dirname src/map/if/ifTune.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c ./depends.sh "gcc" `dirname src/map/if/ifTruth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTime.c ./depends.sh "gcc" `dirname src/map/if/ifTime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTest.c ./depends.sh "gcc" `dirname src/map/if/ifTest.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSeq.c ./depends.sh "gcc" `dirname src/map/if/ifSeq.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSelect.c ./depends.sh "gcc" `dirname src/map/if/ifSelect.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSat.c ./depends.sh "gcc" `dirname src/map/if/ifSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifReduce.c ./depends.sh "gcc" `dirname src/map/if/ifReduce.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMatch2.c ./depends.sh "gcc" `dirname src/map/if/ifMatch2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMap.c ./depends.sh "gcc" `dirname src/map/if/ifMap.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMan.c ./depends.sh "gcc" `dirname src/map/if/ifMan.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibLut.c ./depends.sh "gcc" `dirname src/map/if/ifLibLut.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c ./depends.sh "gcc" `dirname src/map/if/ifLibBox.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDsd.c ./depends.sh "gcc" `dirname src/map/if/ifDsd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5279,37 +5315,37 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec75.c ./depends.sh "gcc" `dirname src/map/if/ifDec75.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec16.c ./depends.sh "gcc" `dirname src/map/if/ifDec16.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec10.c ./depends.sh "gcc" `dirname src/map/if/ifDec10.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c ./depends.sh "gcc" `dirname src/map/if/ifDec08.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec07.c ./depends.sh "gcc" `dirname src/map/if/ifDec07.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifData2.c ./depends.sh "gcc" `dirname src/map/if/ifData2.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCut.c ./depends.sh "gcc" `dirname src/map/if/ifCut.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCore.c ./depends.sh "gcc" `dirname src/map/if/ifCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCache.c ./depends.sh "gcc" `dirname src/map/if/ifCache.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCom.c ./depends.sh "gcc" `dirname src/map/if/ifCom.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superGate.c ./depends.sh "gcc" `dirname src/map/super/superGate.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superAnd.c ./depends.sh "gcc" `dirname src/map/super/superAnd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5423,22 +5459,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c ./depends.sh "gcc" `dirname src/base/cba/cbaReadBlif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c ./depends.sh "gcc" `dirname src/base/cba/cbaNtk.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c ./depends.sh "gcc" `dirname src/base/cba/cbaCom.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaCba.c ./depends.sh "gcc" `dirname src/base/cba/cbaCba.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c ./depends.sh "gcc" `dirname src/base/cba/cbaBlast.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteVer.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteSmt.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5447,19 +5483,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c ./depends.sh "gcc" `dirname src/base/bac/bacReadVer.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacReadSmt.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c ./depends.sh "gcc" `dirname src/base/bac/bacReadBlif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c ./depends.sh "gcc" `dirname src/base/bac/bacPtrAbc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtr.c ./depends.sh "gcc" `dirname src/base/bac/bacPtr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c ./depends.sh "gcc" `dirname src/base/bac/bacPrsTrans.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5468,19 +5504,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacNtk.c ./depends.sh "gcc" `dirname src/base/bac/bacNtk.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacLib.c ./depends.sh "gcc" `dirname src/base/bac/bacLib.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacCom.c ./depends.sh "gcc" `dirname src/base/bac/bacCom.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBac.c -./depends.sh "gcc" `dirname src/base/bac/bacBac.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBlast.c +./depends.sh "gcc" `dirname src/base/bac/bacBac.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d ./depends.sh "gcc" `dirname src/base/bac/bacBlast.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c ./depends.sh "gcc" `dirname src/base/acb/acbUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5504,19 +5540,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAig.c ./depends.sh "gcc" `dirname src/base/acb/acbAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAbc.c ./depends.sh "gcc" `dirname src/base/acb/acbAbc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c ./depends.sh "gcc" `dirname src/base/wln/wlnWriteVer.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c ./depends.sh "gcc" `dirname src/base/wln/wlnWlc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c ./depends.sh "gcc" `dirname src/base/wln/wlnRtl.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c ./depends.sh "gcc" `dirname src/base/wln/wlnRetime.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5525,28 +5561,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c ./depends.sh "gcc" `dirname src/base/wln/wlnObj.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c ./depends.sh "gcc" `dirname src/base/wln/wlnNtk.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c ./depends.sh "gcc" `dirname src/base/wln/wlnNdr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c ./depends.sh "gcc" `dirname src/base/wln/wlnMem.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c ./depends.sh "gcc" `dirname src/base/wln/wlnGuide.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnCom.c ./depends.sh "gcc" `dirname src/base/wln/wlnCom.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c -./depends.sh "gcc" `dirname src/base/wln/wlnBlast.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wln.c +./depends.sh "gcc" `dirname src/base/wln/wlnBlast.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d ./depends.sh "gcc" `dirname src/base/wln/wln.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c ./depends.sh "gcc" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5585,16 +5621,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c ./depends.sh "gcc" `dirname src/base/wlc/wlcGraft.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c ./depends.sh "gcc" `dirname src/base/wlc/wlcCom.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c ./depends.sh "gcc" `dirname src/base/wlc/wlcBlast.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c ./depends.sh "gcc" `dirname src/base/wlc/wlcPth.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c ./depends.sh "gcc" `dirname src/base/wlc/wlcAbc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5615,28 +5651,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verCore.c ./depends.sh "gcc" `dirname src/base/ver/verCore.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorUtil.c ./depends.sh "gcc" `dirname src/base/exor/exorUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorList.c ./depends.sh "gcc" `dirname src/base/exor/exorList.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorLink.c ./depends.sh "gcc" `dirname src/base/exor/exorLink.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c ./depends.sh "gcc" `dirname src/base/exor/exorCubes.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorBits.c ./depends.sh "gcc" `dirname src/base/exor/exorBits.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c ./depends.sh "gcc" `dirname src/base/exor/exor.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c ./depends.sh "gcc" `dirname src/base/main/mainUtils.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/libSupport.c ./depends.sh "gcc" `dirname src/base/main/libSupport.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5657,10 +5693,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c ./depends.sh "gcc" `dirname src/base/io/ioWriteSmv.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c ./depends.sh "gcc" `dirname src/base/io/ioWriteVerilog.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWritePla.c ./depends.sh "gcc" `dirname src/base/io/ioWritePla.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5671,17 +5707,17 @@ ./depends.sh "gcc" `dirname src/base/io/ioWriteGml.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c -./depends.sh "gcc" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c +./depends.sh "gcc" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d ./depends.sh "gcc" `dirname src/base/io/ioWriteEqn.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c ./depends.sh "gcc" `dirname src/base/io/ioWriteDot.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c ./depends.sh "gcc" `dirname src/base/io/ioWriteCnf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBook.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c @@ -5699,25 +5735,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBaf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c ./depends.sh "gcc" `dirname src/base/io/ioWriteAiger.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioUtil.c ./depends.sh "gcc" `dirname src/base/io/ioUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c ./depends.sh "gcc" `dirname src/base/io/ioReadVerilog.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c ./depends.sh "gcc" `dirname src/base/io/ioReadPlaMo.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c ./depends.sh "gcc" `dirname src/base/io/ioReadPla.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c ./depends.sh "gcc" `dirname src/base/io/ioReadEqn.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c ./depends.sh "gcc" `dirname src/base/io/ioReadEdif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c @@ -5726,52 +5762,52 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c ./depends.sh "gcc" `dirname src/base/io/ioReadBlifMv.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c ./depends.sh "gcc" `dirname src/base/io/ioReadBlifAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c ./depends.sh "gcc" `dirname src/base/io/ioReadBlif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBench.c ./depends.sh "gcc" `dirname src/base/io/ioReadBench.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c ./depends.sh "gcc" `dirname src/base/io/ioReadBblif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c ./depends.sh "gcc" `dirname src/base/io/ioReadBaf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c ./depends.sh "gcc" `dirname src/base/io/ioReadAiger.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioJson.c ./depends.sh "gcc" `dirname src/base/io/ioJson.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/io.c ./depends.sh "gcc" `dirname src/base/io/io.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c ./depends.sh "gcc" `dirname src/base/cmd/cmdUtils.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c ./depends.sh "gcc" `dirname src/base/cmd/cmdStarter.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c ./depends.sh "gcc" `dirname src/base/cmd/cmdPlugin.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c ./depends.sh "gcc" `dirname src/base/cmd/cmdLoad.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c ./depends.sh "gcc" `dirname src/base/cmd/cmdHist.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c ./depends.sh "gcc" `dirname src/base/cmd/cmdFlag.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c ./depends.sh "gcc" `dirname src/base/cmd/cmdAuto.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c ./depends.sh "gcc" `dirname src/base/cmd/cmdApi.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5786,11 +5822,11 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcVerify.c ./depends.sh "gcc" `dirname src/base/abci/abcVerify.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c ./depends.sh "gcc" `dirname src/base/abci/abcUnreach.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcUnate.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTiming.c @@ -5798,10 +5834,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTim.c ./depends.sh "gcc" `dirname src/base/abci/abcTim.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSymm.c ./depends.sh "gcc" `dirname src/base/abci/abcSymm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c ./depends.sh "gcc" `dirname src/base/abci/abcSweep.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5813,17 +5849,17 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c ./depends.sh "gcc" `dirname src/base/abci/abcSense.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c ./depends.sh "gcc" `dirname src/base/abci/abcScorr.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c ./depends.sh "gcc" `dirname src/base/abci/abcSaucy.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSat.c ./depends.sh "gcc" `dirname src/base/abci/abcSat.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcRunGen.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRr.c @@ -5840,28 +5876,28 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c ./depends.sh "gcc" `dirname src/base/abci/abcRestruct.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c ./depends.sh "gcc" `dirname src/base/abci/abcReorder.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRenode.c ./depends.sh "gcc" `dirname src/base/abci/abcRenode.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c -./depends.sh "gcc" `dirname src/base/abci/abcRefactor.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReach.c +./depends.sh "gcc" `dirname src/base/abci/abcRefactor.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d ./depends.sh "gcc" `dirname src/base/abci/abcReach.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c ./depends.sh "gcc" `dirname src/base/abci/abcReconv.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c ./depends.sh "gcc" `dirname src/base/abci/abcRec3.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQuant.c ./depends.sh "gcc" `dirname src/base/abci/abcQuant.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c ./depends.sh "gcc" `dirname src/base/abci/abcQbf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5870,10 +5906,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c ./depends.sh "gcc" `dirname src/base/abci/abcPrint.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c ./depends.sh "gcc" `dirname src/base/abci/abcPart.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c ./depends.sh "gcc" `dirname src/base/abci/abcOrder.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c @@ -5888,22 +5924,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c ./depends.sh "gcc" `dirname src/base/abci/abcNtbdd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMulti.c ./depends.sh "gcc" `dirname src/base/abci/abcMulti.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMiter.c ./depends.sh "gcc" `dirname src/base/abci/abcMiter.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMini.c ./depends.sh "gcc" `dirname src/base/abci/abcMini.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMfs.c ./depends.sh "gcc" `dirname src/base/abci/abcMfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMerge.c ./depends.sh "gcc" `dirname src/base/abci/abcMerge.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMap.c ./depends.sh "gcc" `dirname src/base/abci/abcMap.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5918,10 +5954,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIvy.c ./depends.sh "gcc" `dirname src/base/abci/abcIvy.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c ./depends.sh "gcc" `dirname src/base/abci/abcIfMux.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfif.c ./depends.sh "gcc" `dirname src/base/abci/abcIfif.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5929,29 +5965,29 @@ ./depends.sh "gcc" `dirname src/base/abci/abcIf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcHaig.c -./depends.sh "gcc" `dirname src/base/abci/abcHaig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c +./depends.sh "gcc" `dirname src/base/abci/abcHaig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d ./depends.sh "gcc" `dirname src/base/abci/abcGen.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d --> ABC: `` Generating dependency: /src/base/abci/abcFxu.c -./depends.sh "gcc" `dirname src/base/abci/abcFxu.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcFxu.c -> ABC: `` Generating dependency: /src/base/abci/abcFx.c +./depends.sh "gcc" `dirname src/base/abci/abcFxu.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d ./depends.sh "gcc" `dirname src/base/abci/abcFx.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c ./depends.sh "gcc" `dirname src/base/abci/abcFraig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c ./depends.sh "gcc" `dirname src/base/abci/abcExtract.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d -> ABC: `` Generating dependency: /src/base/abci/abcExact.c ./depends.sh "gcc" `dirname src/base/abci/abcExact.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcEco.c ./depends.sh "gcc" `dirname src/base/abci/abcEco.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDsd.c ./depends.sh "gcc" `dirname src/base/abci/abcDsd.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5966,10 +6002,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c ./depends.sh "gcc" `dirname src/base/abci/abcDetect.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDec.c ./depends.sh "gcc" `dirname src/base/abci/abcDec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c ./depends.sh "gcc" `dirname src/base/abci/abcDebug.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5984,19 +6020,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCascade.c ./depends.sh "gcc" `dirname src/base/abci/abcCascade.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCas.c ./depends.sh "gcc" `dirname src/base/abci/abcCas.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBmc.c ./depends.sh "gcc" `dirname src/base/abci/abcBmc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBm.c ./depends.sh "gcc" `dirname src/base/abci/abcBm.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c ./depends.sh "gcc" `dirname src/base/abci/abcBidec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBalance.c ./depends.sh "gcc" `dirname src/base/abci/abcBalance.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6005,22 +6041,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c ./depends.sh "gcc" `dirname src/base/abci/abcAttach.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abc.c ./depends.sh "gcc" `dirname src/base/abci/abc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c ./depends.sh "gcc" `dirname src/base/abc/abcUtil.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcSop.c ./depends.sh "gcc" `dirname src/base/abc/abcSop.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcShow.c ./depends.sh "gcc" `dirname src/base/abc/abcShow.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcRefs.c ./depends.sh "gcc" `dirname src/base/abc/abcRefs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcObj.c ./depends.sh "gcc" `dirname src/base/abc/abcObj.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6035,10 +6071,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c ./depends.sh "gcc" `dirname src/base/abc/abcMinBase.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLib.c ./depends.sh "gcc" `dirname src/base/abc/abcLib.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcLatch.c ./depends.sh "gcc" `dirname src/base/abc/abcLatch.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6050,37 +6086,37 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c ./depends.sh "gcc" `dirname src/base/abc/abcHieCec.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHie.c ./depends.sh "gcc" `dirname src/base/abc/abcHie.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFunc.c ./depends.sh "gcc" `dirname src/base/abc/abcFunc.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c -./depends.sh "gcc" `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcFanio.c +./depends.sh "gcc" `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d ./depends.sh "gcc" `dirname src/base/abc/abcFanio.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d --> ABC: `` Generating dependency: /src/base/abc/abcDfs.c -./depends.sh "gcc" `dirname src/base/abc/abcDfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcDfs.c +./depends.sh "gcc" `dirname src/base/abc/abcDfs.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c ./depends.sh "gcc" `dirname src/base/abc/abcCheck.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c ./depends.sh "gcc" `dirname src/base/abc/abcBlifMv.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c ./depends.sh "gcc" `dirname src/base/abc/abcBarBuf.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d --> ABC: `` Generating dependency: /src/base/abc/abcAig.c -./depends.sh "gcc" `dirname src/base/abc/abcAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcAig.c -> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp +./depends.sh "gcc" `dirname src/base/abc/abcAig.c` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d ./depends.sh "gcc" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp ./depends.sh "gcc" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6089,35 +6125,35 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/Options2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/Options2.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp > src/sat/glucose2/Options2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/Glucose2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/System.cpp ./depends.sh "gcc" `dirname src/sat/glucose/System.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp > src/sat/glucose/System.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp ./depends.sh "gcc" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/Options.cpp -./depends.sh "gcc" `dirname src/sat/glucose/Options.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp > src/sat/glucose/Options.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp +./depends.sh "gcc" `dirname src/sat/glucose/Options.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp > src/sat/glucose/Options.d ./depends.sh "gcc" `dirname src/sat/glucose/Glucose.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp ./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: Using CC=gcc @@ -6132,16 +6168,16 @@ -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable +gcc -o yosys -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o -> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp +-> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -gcc -o yosys -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 src/sat/glucose/Glucose.cpp: In member function 'void abc::Gluco::Solver::printIncrementalStats()': src/sat/glucose/Glucose.cpp:1189:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] 1189 | printf("c restarts : %ld\n", starts); @@ -6209,6 +6245,9 @@ -> ABC: `` Compiling: /src/sat/glucose/Options.cpp gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] 492 | union yyalloc | ^ @@ -6221,9 +6260,6 @@ frontends/verilog/verilog_parser.tab.cc:1095:14: note: a field of same name but different type is defined in another translation unit 1095 | yy_state_t yyss_alloc; | ^ --> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/System.cpp gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6233,18 +6269,6 @@ -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] - 126 | enum yysymbol_kind_t - | -frontends/verilog/verilog_parser.tab.cc:361: note: an enum with different value name is defined in another translation unit - 361 | enum yysymbol_kind_t - | -frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit - 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ - | -frontends/verilog/verilog_parser.tab.cc:367: note: mismatching definition - 367 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ - | -> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6318,6 +6342,18 @@ -> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] + 126 | enum yysymbol_kind_t + | +frontends/verilog/verilog_parser.tab.cc:361: note: an enum with different value name is defined in another translation unit + 361 | enum yysymbol_kind_t + | +frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit + 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ + | +frontends/verilog/verilog_parser.tab.cc:367: note: mismatching definition + 367 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ + | -> ABC: `` Compiling: /src/sat/glucose2/System2.cpp gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6400,6 +6436,9 @@ -> ABC: `` Compiling: /src/base/abc/abcNames.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcNetlist.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -6498,9 +6537,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/base/abc/abcNetlist.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcNtk.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6720,13 +6756,13 @@ -> ABC: `` Compiling: /src/base/abci/abcSpeedup.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcStrash.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': src/base/abci/abcSaucy.c:2654:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] 2654 | && s->clist && s->nextnon[-1] && s->prevnon | ~~~~~~~~~~~~~^ --> ABC: `` Compiling: /src/base/abci/abcStrash.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcSweep.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6865,6 +6901,14 @@ -> ABC: `` Compiling: /src/base/io/ioWriteGml.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWriteList.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWritePla.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o src/base/io/ioWriteEdgelist.c: In function 'int abc::Io_NtkEdgelistWriteNodeGate(FILE*, Abc_Obj_t*, int, int)': src/base/io/ioWriteEdgelist.c:678:29: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] 678 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); @@ -6878,14 +6922,6 @@ | | | | long unsigned int abc::word {aka long long unsigned int} | %llu --> ABC: `` Compiling: /src/base/io/ioWriteList.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWritePla.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o @@ -6929,9 +6965,6 @@ -> ABC: `` Compiling: /src/base/exor/exorUtil.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/ver/verCore.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/stdio.h:964, from src/base/exor/exor.h:44, from src/base/exor/exorUtil.c:45: @@ -6947,6 +6980,9 @@ /usr/include/arm-linux-gnueabihf/bits/stdio2-decl.h:49:12: note: in a call to function '__fprintf_chk' declared 'nonnull' 49 | extern int __fprintf_chk (FILE *__restrict __stream, int __flag, | ^~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/base/ver/verCore.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verFormula.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7013,6 +7049,9 @@ -> ABC: `` Compiling: /src/base/wlc/wlcReadVer.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcSim.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wlc/wlc.h:34, from src/base/wlc/wlcReadVer.c:21: In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', @@ -7035,9 +7074,6 @@ src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 985 | int v, b, Value, nBits, nInts; | ^~~~~ --> ABC: `` Compiling: /src/base/wlc/wlcSim.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcShow.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7389,10 +7425,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec75.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDelay.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDsd.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7459,6 +7495,9 @@ -> ABC: `` Compiling: /src/map/amap/amapMerge.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/map/amap/amapOutput.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/map/amap/amapLiberty.c: In function 'abc::Amap_LibertyBuildItem(abc::Amap_Tree_t_*, char**, char*)': src/map/amap/amapLiberty.c:966:77: warning: '%s' directive writing up to 4999 bytes into a region of size 955 [-Wformat-overflow=] 353 | return Buffer; @@ -7479,9 +7518,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/map/amap/amapOutput.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapParse.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7517,10 +7553,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/cov/covMinUtil.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/scl.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/scl/sclBuffer.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7599,6 +7635,9 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from src/misc/extra/extraUtilCube.c:25: src/misc/extra/extraUtilCube.c: In function 'abc::Abc_EnumerateCubeStates()': @@ -7611,12 +7650,14 @@ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ --> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': src/misc/extra/extraUtilFile.c:150:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] 150 | sprintf( Buffer, "%s%s", pBase, pSuffix ); @@ -7633,11 +7674,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o @@ -7657,6 +7693,9 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] @@ -7675,9 +7714,6 @@ src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 2560 | int i, j, k, n = 4; | ^ --> ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilReader.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7690,6 +7726,9 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/mvc/mvcApi.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilUtil.c: In function 'int abc::Extra_UtilGetopt(int, char**, const char*)': src/misc/extra/extraUtilUtil.c:100:18: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 100 | register int c; @@ -7697,9 +7736,6 @@ src/misc/extra/extraUtilUtil.c:101:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 101 | register const char *place; | ^~~~~ --> ABC: `` Compiling: /src/misc/mvc/mvcApi.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7795,10 +7831,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mem/mem.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bar/bar.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bbl/bblif.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7843,10 +7879,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuList.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7865,6 +7901,12 @@ -> ABC: `` Compiling: /src/opt/fxu/fxuSelect.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/opt/fxu/fxuReduce.c:19: @@ -7878,12 +7920,6 @@ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ --> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/Fxch.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7949,10 +7985,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSupp.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSwitch.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSym.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8196,6 +8232,21 @@ -> ABC: `` Compiling: /src/opt/dau/dauTree.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/dsc/dsc.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sfm/sfmArea.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sfm/sfmCore.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sfm/sfmDec.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': src/opt/dau/dauTree.c:1492:22: warning: array subscript i_40 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); @@ -8216,30 +8267,15 @@ src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' 48 | unsigned char pShared[0]; // shared literals | ^~~~~~~ --> ABC: `` Compiling: /src/opt/dsc/dsc.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmArea.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmCore.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmDec.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmLib.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmNtk.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmSat.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmTim.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8321,9 +8357,6 @@ -> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/xsat/xsatSolver.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] @@ -8344,6 +8377,9 @@ | | | | long int abc::iword {aka long long int} | %10lld +-> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8408,10 +8444,10 @@ | %10lld -> ABC: `` Compiling: /src/sat/satoko/solver.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver_api.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/cnf_reader.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8583,6 +8619,24 @@ -> ABC: `` Compiling: /src/bool/bdc/bdcCore.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcDec.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/bdc/bdcTable.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/dec/decAbc.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/dec/decFactor.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/dec/decMan.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from ./src/aig/aig/aig.h:34, from ./src/aig/saig/saig.h:29, @@ -8598,37 +8652,8 @@ src/sat/bmc/bmcMaj.c:3082:10: note: 'Entry' declared here 3082 | word Entry, Truths[100] = { 0x96, 0xE8 }; | ^~~~~ --> ABC: `` Compiling: /src/bool/bdc/bdcDec.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3695:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here - 3693 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3698:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here - 3693 | word Entry; int i; - | ^~~~~ --> ABC: `` Compiling: /src/bool/bdc/bdcTable.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decAbc.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o +-> ABC: `` Compiling: /src/bool/dec/decPrint.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bool/bdc/bdcSpfd.c: In function 'abc::Bdc_SpfdDecomposeTest__(abc::Vec_Int_t_**)': src/bool/bdc/bdcSpfd.c:602:28: warning: product '250000000 * 24' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] @@ -8642,15 +8667,6 @@ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^~~~~~ --> ABC: `` Compiling: /src/bool/dec/decFactor.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decMan.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bool/dec/decPrint.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decUtil.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8660,6 +8676,26 @@ -> ABC: `` Compiling: /src/bool/kit/kitBdd.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3695:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here + 3693 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3698:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here + 3693 | word Entry; int i; + | ^~~~~ -> ABC: `` Compiling: /src/bool/kit/kitCloud.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8684,18 +8720,18 @@ -> ABC: `` Compiling: /src/bool/kit/kitPla.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/bool/kit/kitDsd.c:21: -In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', - inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: -src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] - 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } - | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/bool/kit/kitSop.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitTruth.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/bool/kit/kitDsd.c:21: +In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', + inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: +src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] + 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } + | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/bool/lucky/lucky.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8719,10 +8755,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbDec6.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rsb/rsbMan.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/rpo/rpo.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8741,6 +8777,9 @@ -> ABC: `` Compiling: /src/proof/pdr/pdrMan.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/pdr/pdrSat.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from ./src/aig/aig/aig.h:34, from ./src/aig/saig/saig.h:29, @@ -8756,9 +8795,6 @@ /usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ | ^~~~~~ --> ABC: `` Compiling: /src/proof/pdr/pdrSat.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8792,13 +8828,6 @@ -> ABC: `` Compiling: /src/proof/abs/absOldSat.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': -src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] - 1896 | if ( p->pPars->fVerbose && Status == -1 ) - | ~~~~~~~^~~~~ -src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here - 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; - | ^~~~~~ -> ABC: `` Compiling: /src/proof/abs/absOldSim.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8811,6 +8840,13 @@ -> ABC: `` Compiling: /src/proof/abs/absRef.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': +src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] + 1896 | if ( p->pPars->fVerbose && Status == -1 ) + | ~~~~~~~^~~~~ +src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here + 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; + | ^~~~~~ -> ABC: `` Compiling: /src/proof/abs/absRefSelect.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8818,11 +8854,11 @@ gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absRpmOld.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absVta.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absUtil.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8858,10 +8894,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscCore.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSat.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSim.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8987,10 +9023,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecMult.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecNorm.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecOrder.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9071,10 +9107,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigVec.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9227,10 +9263,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigObj.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOper.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOrder.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9242,10 +9278,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartReg.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartSat.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigRepr.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9320,10 +9356,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigPhase.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetFwd.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigRetMin.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9353,10 +9389,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTempor.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTrans.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigWnd.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9453,9 +9489,6 @@ -> ABC: `` Compiling: /src/aig/gia/giaEsop.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaExist.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:33, from src/aig/gia/gia.h:34, from src/aig/gia/giaEsop.c:21: @@ -9477,6 +9510,9 @@ ./src/misc/util/abc_global.h:269:26: note: at offset [-2147483644, -1] into destination object of size 16 allocated by 'malloc' 269 | ((type *) malloc(sizeof(type) * (size_t)(num)))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaExist.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFalse.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9534,9 +9570,6 @@ -> ABC: `` Compiling: /src/aig/gia/giaLf.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMf.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: @@ -9567,6 +9600,12 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaMf.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMan.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: @@ -9697,8 +9736,11 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaMan.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o +-> ABC: `` Compiling: /src/aig/gia/giaMem.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMfs.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] @@ -9977,12 +10019,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaMem.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMfs.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaMini.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10004,12 +10040,6 @@ -> ABC: `` Compiling: /src/aig/gia/giaPack.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat2.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/sat/bsat/satSolver.h:29, from ./src/sat/bsat/satStore.h:36, from src/aig/gia/giaOf.c:30: @@ -10020,6 +10050,12 @@ src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; | ^~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaPat.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat2.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaPf.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10028,10 +10064,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaReshape1.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaReshape2.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaResub.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10125,6 +10161,15 @@ -> ABC: `` Compiling: /src/aig/gia/giaSupp.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSupps.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSweep.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaSweeper.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:29, from src/aig/gia/gia.h:34, from src/aig/gia/giaSimBase.c:21: @@ -10148,15 +10193,6 @@ src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here 3586 | word Entry; int i; | ^~~~~ --> ABC: `` Compiling: /src/aig/gia/giaSupps.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c -o src/aig/gia/giaSupps.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSweep.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaSweeper.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSwitch.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10220,6 +10256,9 @@ -> ABC: `` Compiling: /src/aig/ivy/ivyFraig.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); @@ -10251,9 +10290,6 @@ src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' 52 | int pArray[0]; // the support nodes | ^~~~~~ --> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMan.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10274,13 +10310,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyRwr.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o --> ABC: `` Compiling: /src/aig/ivy/ivySeq.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivySeq.c -> ABC: `` Compiling: /src/aig/ivy/ivyShow.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyTable.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10289,10 +10325,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopBalance.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopCheck.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopDfs.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10303,11 +10339,11 @@ gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopObj.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopOper.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopTable.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10412,6 +10448,9 @@ src/bdd/cudd/cuddBddIte.c:1308:46: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1308 | register DdNode *r, *f, *g, *h; | ^ +-> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddCache.c: In function 'void abc::cuddCacheInsert(DdManager*, ptruint, DdNode*, DdNode*, DdNode*, DdNode*)': src/bdd/cudd/cuddCache.c:232:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 232 | register DdCache *entry; @@ -10424,9 +10463,6 @@ src/bdd/cudd/cuddCache.c:331:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 331 | register DdCache *entry; | ^~~~~ --> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10441,10 +10477,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddExport.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10516,6 +10552,12 @@ -> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddSplit.c: In function 'double abc::bddAnnotateMintermCount(DdManager*, DdNode*, double, st__table*)': src/bdd/cudd/cuddSplit.c:641:21: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 641 | register double min_v,min_nv; @@ -10526,12 +10568,6 @@ src/bdd/cudd/cuddSplit.c:642:21: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 642 | register double min_N; | ^~~~~ --> ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10546,10 +10582,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10651,10 +10687,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoSwap.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoTransfer.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoUnits.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10718,6 +10754,12 @@ -> ABC: `` Compiling: /src/bdd/llb/llb2Dump.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb2Image.c +gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -10740,12 +10782,6 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb2Image.c -gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Image.c gcc -c -g -O -I./src -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_MEMALIGN=4 -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10966,19 +11002,19 @@ debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/reproducible-path/yosys-0.33' dh_auto_build -- docs DOC_TARGET=latexpdf - make -j3 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf + make -j4 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf make[2]: Entering directory '/build/reproducible-path/yosys-0.33' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: mkdir -p docs/source/cmd make -C docs/images all -mkdir -p docs/source/temp ./yosys -p 'help -write-rst-command-reference-manual' >/dev/null -cp -f guidelines/GettingStarted guidelines/CodingStyle docs/source/temp make[3]: Entering directory '/build/reproducible-path/yosys-0.33/docs/images' dot -Tpdf -o 011/cmos_00.pdf ../source/APPNOTE_011_Design_Investigation/cmos_00.dot +mkdir -p docs/source/temp dot -Tpdf -o 011/cmos_01.pdf ../source/APPNOTE_011_Design_Investigation/cmos_01.dot +cp -f guidelines/GettingStarted guidelines/CodingStyle docs/source/temp dot -Tpdf -o 011/example_00.pdf ../source/APPNOTE_011_Design_Investigation/example_00.dot dot -Tpdf -o 011/example_01.pdf ../source/APPNOTE_011_Design_Investigation/example_01.dot dot -Tpdf -o 011/example_02.pdf ../source/APPNOTE_011_Design_Investigation/example_02.dot @@ -11000,330 +11036,451 @@ pdflatex basics_abstractions.tex --interaction=nonstopmode This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. +pdflatex basics_ast.tex --interaction=nonstopmode This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. -pdflatex basics_ast.tex --interaction=nonstopmode +pdflatex basics_flow.tex --interaction=nonstopmode entering extended mode (./approach_flow.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsentering extended mode -(./basics_abstractions.tex -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -)))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.texentering extended mode +(./basics_abstractions.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.texentering extended mode -(./basics_ast.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texentering extended mode +(./basics_ast.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex))) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f) -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)))entering extended mode +(./basics_flow.tex)) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) +ric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +thmetics.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)) +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) +ode.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)))) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) +code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex)) +.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex)) +s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t @@ -11331,105 +11488,128 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) +x)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)))) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex)) +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) +tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -) -Package pgfplots: loading complementary utilities for your pgf version... +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex))) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) +gfsupp_pgfutil-common-lists.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) +tructure.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray @@ -11437,82 +11617,131 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)) +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) +.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp @@ -11525,61 +11754,54 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) +x.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex +zlibrarydecorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t @@ -11587,10 +11809,28 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) +e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) @@ -11612,25 +11852,25 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co de.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file basics_abstractions.aux. +No file approach_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def [Loading MPS to PDF converter (version 2006.09.02).] -)) -No file approach_flow.aux. +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +No file basics_abstractions.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))))) +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co @@ -11639,19 +11879,29 @@ zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file basics_ast.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +[1 +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) +No file basics_ast.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +No file basics_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -11666,40 +11916,75 @@ on input line 11. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./approach_flow.aux) )< -/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb>}] (./basics_ast.aux) )] -(./basics_abstractions.aux) +/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb> Output written on approach_flow.pdf (1 page, 15288 bytes). Transcript written on approach_flow.log. - )pdflatex basics_flow.tex --interaction=nonstopmode +pdflatex basics_parsetree.tex --interaction=nonstopmode +This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +}] (./basics_ast.aux})] )) ) + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map Output written on basics_ast.pdf (1 page, 7708 bytes). Transcript written on basics_ast.log. -pdflatex basics_parsetree.tex --interaction=nonstopmode +pdflatex overview_flow.tex --interaction=nonstopmode Output written on basics_abstractions.pdf (1 page, 16368 bytes). Transcript written on basics_abstractions.log. -pdflatex overview_flow.tex --interaction=nonstopmode -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. +pdflatex overview_rtlil.tex --interaction=nonstopmode This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode -(./basics_flow.texentering extended mode (./basics_parsetree.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsentering extended mode -(./overview_flow.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg}]) (./basics_flow.aux) ) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +Output written on basics_flow.pdf (1 page, 14279 bytes). +Transcript written on basics_flow.log. +pdflatex verilog_flow.tex --interaction=nonstopmode +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styentering extended mode +(./overview_flow.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)entering extended mode +(./overview_rtlil.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls @@ -11709,295 +11994,327 @@ Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f +tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.defentering extended mode +(./verilog_flow.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))))))) +f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) +tex) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) +code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +s.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) +x)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) +ode.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. @@ -12005,274 +12322,422 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)))) +.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +zlibrarytopaths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex + +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists tructureext.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +x.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code .tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex))))) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex) +de.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def +fshading.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex +shading.pgfsys-pdftex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x)) +x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex +fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) +shading.pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex ) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))))) +tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)))) +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +)) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex +decorations.pathreplacing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex))) +ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) @@ -12290,31 +12755,52 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex))) +ntourlua.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))))) +de.tex))) +No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) +s.geometric.code.tex +[Loading MPS to PDF converter (version 2006.09.02).] +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex))) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file basics_flow.aux. +No file overview_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) -No file overview_flow.aux. +No file overview_rtlil.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co @@ -12323,12 +12809,6 @@ zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file basics_parsetree.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into @@ -12336,40 +12816,49 @@ on input line 12. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +No file verilog_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 11. -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] (./basics_parsetree.aux) + ) +Output written on basics_parsetree.pdf (1 page, 10896 bytes). +Transcript written on basics_parsetree.log. +cd 011 && pdflatex example_out.tex --interaction=nonstopmode +}] (./overview_flow.aux) )< +/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb> +Output written on overview_flow.pdf (1 page, 15179 bytes). +Transcript written on overview_flow.log. +cd 011 && pdflatex select_prod.tex --interaction=nonstopmode +}] (./overview_rtlil.aux) ) + +Output written on overview_rtlil.pdf (1 page, 17629 bytes). +Transcript written on overview_rtlil.log. +cd 011 && pdflatex splitnets_libfile.tex --interaction=nonstopmode +This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + Package pgfplots Warning: running in backwards compatibility mode (unsuitable t ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into your preamble. on input line 12. -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./overview_flow.aux) )< -/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb>} -Output written on overview_flow.pdf (1 page, 15179 bytes). -Transcript written on overview_flow.log. -]pdflatex overview_rtlil.tex --interaction=nonstopmode - (./basics_flow.aux) ) -Output written on basics_flow.pdf (1 page, 14279 bytes). -Transcript written on basics_flow.log. -pdflatex verilog_flow.tex --interaction=nonstopmode -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.mapThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. -}] (./basics_parsetree.aux) - ) -Output written on basics_parsetree.pdf (1 page, 10896 bytes). -Transcript written on basics_parsetree.log. -cd 011 && pdflatex example_out.tex --interaction=nonstopmode entering extended mode -(./overview_rtlil.tex +(./example_out.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls @@ -12381,121 +12870,139 @@ (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))entering extended mode -(./verilog_flow.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)entering extended mode +(./select_prod.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone (/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty}] +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (./verilog_flow.aux) )< +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty/ +usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmtt12.pfb)>) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)entering extended mode +(./splitnets_libfile.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex +Output written on verilog_flow.pdf (1 page, 9528 bytes). +Transcript written on verilog_flow.log. +)))))cd 011 && pdflatex submod_dots.tex --interaction=nonstopmode + +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styentering extended mode -(./example_out.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f) -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty))This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) +ric.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison @@ -12503,59 +13010,85 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) +thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)entering extended mode +(./submod_dots.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex))) +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex)) +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. @@ -12564,573 +13097,336 @@ e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex)) -)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +thmetics.code.tex)) + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex)) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) +s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) +x +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) +ode.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) +s.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) + (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) + (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -)) -Package pgfplots: loading complementary utilities for your pgf version... -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex) -No file example_out.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex - -pdfTeX warning: pdflatex (file ./example_00.pdf): )) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./example_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./example_02.pdf): -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[1 <./example_00.pdf> <./example_01.pdf - -pdfTeX warning: pdflatex (file ./example_01.pdf): PDF inclusion: multiple pdfs -with page group included in a single page -> <./example_02.pdf +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -pdfTeX warning: pdflatex (file ./example_02.pdf): PDF inclusion: multiple pdfs -with page group included in a single page ->] (./example_out.aux) ) -Output written on example_out.pdf (1 page, 34674 bytes). -Transcript written on example_out.log. -cd 011 && pdflatex select_prod.tex --interaction=nonstopmode -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)entering extended mode -(./select_prod.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -No file overview_rtlil.aux. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +No file example_out.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx [Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)))) +tex) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)))) +zlibrarytopaths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty))) +No file select_prod.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) +x)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +x + +pdfTeX warning: pdflatex (file ./example_00.pdf): +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +.code.texPDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed + + +pdfTeX warning: pdflatex (file ./example_01.pdf): ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file verilog_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) +No file splitnets_libfile.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiiPDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed + + +pdfTeX warning: pdflatex +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty [Loading MPS to PDF converter (version 2006.09.02).] -)) +) (file ./example_02.pdf): (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed +[1 <./example_00.pdf> <./example_01.pdf + +pdfTeX warning: pdflatex (file ./example_01.pdf): PDF inclusion: multiple pdfs +with page group included in a single page +> <./example_02.pdf + +pdfTeX warning: pdflatex (file ./example_02.pdf): PDF inclusion: multiple pdfs +with page group included in a single page +>]) +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty (./example_out.aux (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) +)) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) ) +Output written on example_out.pdf (1 page, 34675 bytes). +Transcript written on example_out.log. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) + +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.stypdf2svg approach_flow.pdf approach_flow.svg +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))pdf2svg basics_abstractions.pdf basics_abstractions.svg +) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))))}] -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (./overview_rtlil.aux) ) - -Output written on overview_rtlil.pdf (1 page, 17629 bytes). -Transcript written on overview_rtlil.log. -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.defcd 011 && pdflatex splitnets_libfile.tex --interaction=nonstopmode -) -No file select_prod.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) - -pdfTeX warning: pdflatex (file ./sumprod_02.pdf): PDF inclusion: found PDF vers +pdfTeX warning: pdflatex (file ./sumprod_02.pdf): +(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty)pdf2svg basics_ast.pdf basics_ast.svg +PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: found PDF vers +pdfTeX warning: pdflatex (file ./sumprod_03.pdf): ) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty))PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: found PDF vers +pdfTeX warning: pdflatex (file ./sumprod_04.pdf): +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.stypdf2svg basics_flow.pdf basics_flow.svg +PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: found PDF vers +pdfTeX warning: pdflatex (file ./sumprod_05.pdf): +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty + +pdfTeX warning: pdflatex (file ./cmos_00.pdf): +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))PDF inclusion: found PDF vers ion <1.7>, but at most version <1.5> allowed [1 <./sumprod_02.pdf> <./sumprod_03.pdf @@ -13144,335 +13440,75 @@ pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs with page group included in a single page ->] (./select_prod.aux) ) -Output written on select_prod.pdf (1 page, 22491 bytes). +>] (./select_prod.aux) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty ) +Output written on select_prod.pdf (1 page, 22493 bytes). Transcript written on select_prod.log. -cd 011 && pdflatex submod_dots.tex --interaction=nonstopmode -}] (./verilog_flow.aux) )entering extended mode -(./splitnets_libfile.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -Output written on verilog_flow.pdf (1 page, 9528 bytes). -Transcript written on verilog_flow.log. - -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)pdf2svg approach_flow.pdf approach_flow.svg - -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))pdf2svg basics_abstractions.pdf basics_abstractions.svg - -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)pdf2svg basics_ast.pdf basics_ast.svg - -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))pdf2svg basics_flow.pdf basics_flow.svg - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)pdf2svg basics_parsetree.pdf basics_parsetree.svg - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.stypdf2svg overview_flow.pdf overview_flow.svg - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defpdf2svg overview_rtlil.pdf overview_rtlil.svg -))pdf2svg verilog_flow.pdf verilog_flow.svg -)entering extended mode -(./submod_dots.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)pdf2svg 011/example_out.pdf 011/example_out.svg +PDF inclusion: found PDF version + <1.7>, but at most version <1.5> allowed -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))pdf2svg 011/select_prod.pdf 011/select_prod.svg -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)pdf2svg 011/cmos_00.pdf 011/cmos_00.svg -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.stypdf2svg 011/cmos_01.pdf 011/cmos_01.svg +pdfTeX warning: pdflatex (file ./cmos_01.pdf): pdf2svg basics_parsetree.pdf basics_parsetree.svg -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfgpdf2svg 011/example_00.pdf 011/example_00.svg -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.stypdf2svg 011/example_01.pdf 011/example_01.svg -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.texpdf2svg 011/example_02.pdf 011/example_02.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)))pdf2svg 011/example_03.pdf 011/example_03.svg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))pdf2svg overview_flow.pdf overview_flow.svg -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -texpdf2svg 011/memdemo_00.pdf 011/memdemo_00.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))pdf2svg 011/memdemo_01.pdf 011/memdemo_01.svg -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.texpdf2svg 011/splice.pdf 011/splice.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -xpdf2svg 011/submod_00.pdf 011/submod_00.svg - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)pdf2svg 011/submod_01.pdf 011/submod_01.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))pdf2svg 011/submod_02.pdf 011/submod_02.svg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texPDF inclusion: found PDF version + <1.7>, but at most version <1.5> allowed +[1 <./cmos_00.pdf> <./cmos_01.pdf -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)pdf2svg 011/submod_03.pdf 011/submod_03.svg +pdfTeX warning: pdflatex (file ./cmos_01.pdf): PDF inclusion: multiple pdfs wit +h page group included in a single page +>]) (./splitnets_libfile.aux)) ) +Output written on splitnets_libfile.pdf (1 page, 16995 bytes). +Transcript written on splitnets_libfile.log. +pdf2svg overview_rtlil.pdf overview_rtlil.svg -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)pdf2svg 011/sumprod_00.pdf 011/sumprod_00.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))pdf2svg 011/sumprod_01.pdf 011/sumprod_01.svg - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texpdf2svg 011/sumprod_02.pdf 011/sumprod_02.svg -)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)pdf2svg 011/sumprod_03.pdf 011/sumprod_03.svg -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -)pdf2svg 011/sumprod_04.pdf 011/sumprod_04.svg (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x)pdf2svg 011/sumprod_05.pdf 011/sumprod_05.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -texrm -f *.log -rm -f *.aux -rm -f 011/*.log 011/*.aux -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x +.code.tex)pdf2svg verilog_flow.pdf verilog_flow.svg +pdf2svg 011/example_out.pdf 011/example_out.svg +pdf2svg 011/select_prod.pdf 011/select_prod.svg +pdf2svg 011/splitnets_libfile.pdf 011/splitnets_libfile.svg + (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +)pdf2svg 011/cmos_00.pdf 011/cmos_00.svg +pdf2svg 011/cmos_01.pdf 011/cmos_01.svg +pdf2svg 011/example_00.pdf 011/example_00.svg +pdf2svg 011/example_01.pdf 011/example_01.svg + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.texpdf2svg 011/example_02.pdf 011/example_02.svg +))))pdf2svg 011/example_03.pdf 011/example_03.svg + +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)pdf2svg 011/memdemo_00.pdf 011/memdemo_00.svg +pdf2svg 011/memdemo_01.pdf 011/memdemo_01.svg +pdf2svg 011/splice.pdf 011/splice.svg +pdf2svg 011/submod_00.pdf 011/submod_00.svg -No file splitnets_libfile.aux. +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.defpdf2svg 011/submod_01.pdf 011/submod_01.svg +)pdf2svg 011/submod_02.pdf 011/submod_02.svg + +No file submod_dots.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) [Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +)pdf2svg 011/submod_03.pdf 011/submod_03.svg + (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)pdf2svg 011/sumprod_00.pdf 011/sumprod_00.svg +pdf2svg 011/sumprod_01.pdf 011/sumprod_01.svg -pdfTeX warning: pdflatex (file ./cmos_00.pdf): PDF inclusion: found PDF version - <1.7>, but at most version <1.5> allowed +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.stypdf2svg 011/sumprod_02.pdf 011/sumprod_02.svg +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty))pdf2svg 011/sumprod_03.pdf 011/sumprod_03.svg +pdf2svg 011/sumprod_04.pdf 011/sumprod_04.svg -pdfTeX warning: pdflatex (file ./cmos_01.pdf): -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)PDF inclusion: found PDF version - <1.7>, but at most version <1.5> allowed -[1 <./cmos_00.pdf> <./cmos_01.pdf +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.stypdf2svg 011/sumprod_05.pdf 011/sumprod_05.svg -pdfTeX warning: pdflatex (file ./cmos_01.pdf): PDF inclusion: multiple pdfs wit -h page group included in a single page ->] (./splitnets_libfile.aux) ) -Output written on splitnets_libfile.pdf (1 page, 16995 bytes). -Transcript written on splitnets_libfile.log. +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty)rm -f *.log -No file submod_dots.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiipdf2svg 011/splitnets_libfile.pdf 011/splitnets_libfile.svg +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))rm -f *.aux +rm -f 011/*.log 011/*.aux -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) @@ -13503,17296 +13539,42 @@ pdfTeX warning: pdflatex (file ./submod_03.pdf): PDF inclusion: multiple pdfs w ith page group included in a single page ->] (./submod_dots.aux) ) -Output written on submod_dots.pdf (1 page, 58437 bytes). +>] +! I can't find file `submod_dots.aux'. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.27 \end{document} + +(Press Enter to retry, or Control-D to exit) +Please type another input file name: +! Emergency stop. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.27 \end{document} + +! ==> Fatal error occurred, no output PDF file produced! Transcript written on submod_dots.log. -pdf2svg 011/submod_dots.pdf 011/submod_dots.svg +make[3]: *** [Makefile:32: 011/submod_dots.pdf] Error 1 make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/images' -make -C docs latexpdf -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/docs' -sphinx-build -b latex -d build/doctrees source build/latex -Running Sphinx v7.2.6 -making output directory... done -checking bibtex cache... out of date -parsing bibtex file /build/reproducible-path/yosys-0.33/docs/source/literature.bib... parsed 23 entries -building [mo]: targets for 0 po files that are out of date -writing output... -building [latex]: all documents -updating environment: [new config] 273 added, 0 changed, 0 removed -reading sources... [ 0%] CHAPTER_Approach reading sources... [ 1%] CHAPTER_Basics reading sources... [ 1%] CHAPTER_CellLib reading sources... [ 1%] CHAPTER_Intro reading sources... [ 2%] CHAPTER_Memorymap reading sources... [ 2%] CHAPTER_Optimize reading sources... [ 3%] CHAPTER_Overview reading sources... [ 3%] CHAPTER_Prog reading sources... [ 3%] CHAPTER_Techmap reading sources... [ 4%] CHAPTER_Verilog reading sources... [ 4%] appendix/APPNOTE_010_Verilog_to_BLIF reading sources... [ 4%] appendix/APPNOTE_011_Design_Investigation reading sources... [ 5%] appendix/APPNOTE_012_Verilog_to_BTOR reading sources... [ 5%] appendix/CHAPTER_Auxlibs reading sources... [ 5%] appendix/CHAPTER_Auxprogs reading sources... [ 6%] appendix/CHAPTER_TextRtlil reading sources... [ 6%] bib reading sources... [ 7%] cmd/abc reading sources... [ 7%] cmd/abc9 reading sources... [ 7%] cmd/abc9_exe reading sources... [ 8%] cmd/abc9_ops reading sources... [ 8%] cmd/add reading sources... [ 8%] cmd/aigmap reading sources... [ 9%] cmd/alumacc reading sources... [ 9%] cmd/anlogic_eqn reading sources... [ 10%] cmd/anlogic_fixcarry reading sources... [ 10%] cmd/assertpmux reading sources... [ 10%] cmd/async2sync reading sources... [ 11%] cmd/attrmap reading sources... [ 11%] cmd/attrmvcp reading sources... [ 11%] cmd/autoname reading sources... [ 12%] cmd/blackbox reading sources... [ 12%] cmd/bmuxmap reading sources... [ 12%] cmd/bugpoint reading sources... [ 13%] cmd/bwmuxmap reading sources... [ 13%] cmd/cd reading sources... [ 14%] cmd/check reading sources... [ 14%] cmd/chformal reading sources... [ 14%] cmd/chparam reading sources... [ 15%] cmd/chtype reading sources... [ 15%] cmd/clean reading sources... [ 15%] cmd/clean_zerowidth reading sources... [ 16%] cmd/clk2fflogic reading sources... [ 16%] cmd/clkbufmap reading sources... [ 16%] cmd/connect reading sources... [ 17%] cmd/connect_rpc reading sources... [ 17%] cmd/connwrappers reading sources... [ 18%] cmd/coolrunner2_fixup reading sources... [ 18%] cmd/coolrunner2_sop reading sources... [ 18%] cmd/copy reading sources... [ 19%] cmd/cover reading sources... [ 19%] cmd/cutpoint reading sources... [ 19%] cmd/debug reading sources... [ 20%] cmd/delete reading sources... [ 20%] cmd/deminout reading sources... [ 21%] cmd/demuxmap reading sources... [ 21%] cmd/design reading sources... [ 21%] cmd/dffinit reading sources... [ 22%] cmd/dfflegalize reading sources... [ 22%] cmd/dfflibmap reading sources... [ 22%] cmd/dffunmap reading sources... [ 23%] cmd/dump reading sources... [ 23%] cmd/echo reading sources... [ 23%] cmd/edgetypes reading sources... [ 24%] cmd/efinix_fixcarry reading sources... [ 24%] cmd/equiv_add reading sources... [ 25%] cmd/equiv_induct reading sources... [ 25%] cmd/equiv_make reading sources... [ 25%] cmd/equiv_mark reading sources... [ 26%] cmd/equiv_miter reading sources... [ 26%] cmd/equiv_opt reading sources... [ 26%] cmd/equiv_purge reading sources... [ 27%] cmd/equiv_remove reading sources... [ 27%] cmd/equiv_simple reading sources... [ 27%] cmd/equiv_status reading sources... [ 28%] cmd/equiv_struct reading sources... [ 28%] cmd/eval reading sources... [ 29%] cmd/exec reading sources... [ 29%] cmd/expose reading sources... [ 29%] cmd/extract reading sources... [ 30%] cmd/extract_counter reading sources... [ 30%] cmd/extract_fa reading sources... [ 30%] cmd/extract_reduce reading sources... [ 31%] cmd/extractinv reading sources... [ 31%] cmd/flatten reading sources... [ 32%] cmd/flowmap reading sources... [ 32%] cmd/fmcombine reading sources... [ 32%] cmd/fminit reading sources... [ 33%] cmd/formalff reading sources... [ 33%] cmd/freduce reading sources... [ 33%] cmd/fsm reading sources... [ 34%] cmd/fsm_detect reading sources... [ 34%] cmd/fsm_expand reading sources... [ 34%] cmd/fsm_export reading sources... [ 35%] cmd/fsm_extract reading sources... [ 35%] cmd/fsm_info reading sources... [ 36%] cmd/fsm_map reading sources... [ 36%] cmd/fsm_opt reading sources... [ 36%] cmd/fsm_recode reading sources... [ 37%] cmd/fst2tb reading sources... [ 37%] cmd/gatemate_foldinv reading sources... [ 37%] cmd/glift reading sources... [ 38%] cmd/greenpak4_dffinv reading sources... [ 38%] cmd/help reading sources... [ 38%] cmd/hierarchy reading sources... [ 39%] cmd/hilomap reading sources... [ 39%] cmd/history reading sources... [ 40%] cmd/ice40_braminit reading sources... [ 40%] cmd/ice40_dsp reading sources... [ 40%] cmd/ice40_opt reading sources... [ 41%] cmd/ice40_wrapcarry reading sources... [ 41%] cmd/insbuf reading sources... [ 41%] cmd/iopadmap reading sources... [ 42%] cmd/jny reading sources... [ 42%] cmd/json reading sources... [ 42%] cmd/lattice_gsr reading sources... [ 43%] cmd/log reading sources... [ 43%] cmd/logger reading sources... [ 44%] cmd/ls reading sources... [ 44%] cmd/ltp reading sources... [ 44%] cmd/lut2mux reading sources... [ 45%] cmd/maccmap reading sources... [ 45%] cmd/memory reading sources... [ 45%] cmd/memory_bmux2rom reading sources... [ 46%] cmd/memory_bram reading sources... [ 46%] cmd/memory_collect reading sources... [ 47%] cmd/memory_dff reading sources... [ 47%] cmd/memory_libmap reading sources... [ 47%] cmd/memory_map reading sources... [ 48%] cmd/memory_memx reading sources... [ 48%] cmd/memory_narrow reading sources... [ 48%] cmd/memory_nordff reading sources... [ 49%] cmd/memory_share reading sources... [ 49%] cmd/memory_unpack reading sources... [ 49%] cmd/miter reading sources... [ 50%] cmd/mutate reading sources... [ 50%] cmd/muxcover reading sources... [ 51%] cmd/muxpack reading sources... [ 51%] cmd/nlutmap reading sources... [ 51%] cmd/onehot reading sources... [ 52%] cmd/opt reading sources... [ 52%] cmd/opt_clean reading sources... [ 52%] cmd/opt_demorgan reading sources... [ 53%] cmd/opt_dff reading sources... [ 53%] cmd/opt_expr reading sources... [ 53%] cmd/opt_ffinv reading sources... [ 54%] cmd/opt_lut reading sources... [ 54%] cmd/opt_lut_ins reading sources... [ 55%] cmd/opt_mem reading sources... [ 55%] cmd/opt_mem_feedback reading sources... [ 55%] cmd/opt_mem_priority reading sources... [ 56%] cmd/opt_mem_widen reading sources... [ 56%] cmd/opt_merge reading sources... [ 56%] cmd/opt_muxtree reading sources... [ 57%] cmd/opt_reduce reading sources... [ 57%] cmd/opt_share reading sources... [ 58%] cmd/paramap reading sources... [ 58%] cmd/peepopt reading sources... [ 58%] cmd/plugin reading sources... [ 59%] cmd/pmux2shiftx reading sources... [ 59%] cmd/pmuxtree reading sources... [ 59%] cmd/portlist reading sources... [ 60%] cmd/prep reading sources... [ 60%] cmd/printattrs reading sources... [ 60%] cmd/proc reading sources... [ 61%] cmd/proc_arst reading sources... [ 61%] cmd/proc_clean reading sources... [ 62%] cmd/proc_dff reading sources... [ 62%] cmd/proc_dlatch reading sources... [ 62%] cmd/proc_init reading sources... [ 63%] cmd/proc_memwr reading sources... [ 63%] cmd/proc_mux reading sources... [ 63%] cmd/proc_prune reading sources... [ 64%] cmd/proc_rmdead reading sources... [ 64%] cmd/proc_rom reading sources... [ 64%] cmd/qbfsat reading sources... [ 65%] cmd/qwp reading sources... [ 65%] cmd/read reading sources... [ 66%] cmd/read_aiger reading sources... [ 66%] cmd/read_blif reading sources... [ 66%] cmd/read_ilang reading sources... [ 67%] cmd/read_json reading sources... [ 67%] cmd/read_liberty reading sources... [ 67%] cmd/read_rtlil reading sources... [ 68%] cmd/read_verilog reading sources... [ 68%] cmd/recover_names reading sources... [ 68%] cmd/rename reading sources... [ 69%] cmd/rmports reading sources... [ 69%] cmd/sat reading sources... [ 70%] cmd/scatter reading sources... [ 70%] cmd/scc reading sources... [ 70%] cmd/scratchpad reading sources... [ 71%] cmd/script reading sources... [ 71%] cmd/select reading sources... [ 71%] cmd/setattr reading sources... [ 72%] cmd/setparam reading sources... [ 72%] cmd/setundef reading sources... [ 73%] cmd/share reading sources... [ 73%] cmd/shell reading sources... [ 73%] cmd/show reading sources... [ 74%] cmd/shregmap reading sources... [ 74%] cmd/sim reading sources... [ 74%] cmd/simplemap reading sources... [ 75%] cmd/splice reading sources... [ 75%] cmd/splitcells reading sources... [ 75%] cmd/splitnets reading sources... [ 76%] cmd/sta reading sources... [ 76%] cmd/stat reading sources... [ 77%] cmd/submod reading sources... [ 77%] cmd/supercover reading sources... [ 77%] cmd/synth reading sources... [ 78%] cmd/synth_achronix reading sources... [ 78%] cmd/synth_anlogic reading sources... [ 78%] cmd/synth_coolrunner2 reading sources... [ 79%] cmd/synth_easic reading sources... [ 79%] cmd/synth_ecp5 reading sources... [ 79%] cmd/synth_efinix reading sources... [ 80%] cmd/synth_fabulous reading sources... [ 80%] cmd/synth_gatemate reading sources... [ 81%] cmd/synth_gowin reading sources... [ 81%] cmd/synth_greenpak4 reading sources... [ 81%] cmd/synth_ice40 reading sources... [ 82%] cmd/synth_intel reading sources... [ 82%] cmd/synth_intel_alm reading sources... [ 82%] cmd/synth_lattice reading sources... [ 83%] cmd/synth_nexus reading sources... [ 83%] cmd/synth_quicklogic reading sources... [ 84%] cmd/synth_sf2 reading sources... [ 84%] cmd/synth_xilinx reading sources... [ 84%] cmd/synthprop reading sources... [ 85%] cmd/tcl reading sources... [ 85%] cmd/techmap reading sources... [ 85%] cmd/tee reading sources... [ 86%] cmd/test_abcloop reading sources... [ 86%] cmd/test_autotb reading sources... [ 86%] cmd/test_cell reading sources... [ 87%] cmd/test_pmgen reading sources... [ 87%] cmd/torder reading sources... [ 88%] cmd/trace reading sources... [ 88%] cmd/tribuf reading sources... [ 88%] cmd/uniquify reading sources... [ 89%] cmd/verific reading sources... [ 89%] cmd/verilog_defaults reading sources... [ 89%] cmd/verilog_defines reading sources... [ 90%] cmd/viz reading sources... [ 90%] cmd/wbflip reading sources... [ 90%] cmd/wreduce reading sources... [ 91%] cmd/write_aiger reading sources... [ 91%] cmd/write_blif reading sources... [ 92%] cmd/write_btor reading sources... [ 92%] cmd/write_cxxrtl reading sources... [ 92%] cmd/write_edif reading sources... [ 93%] cmd/write_file reading sources... [ 93%] cmd/write_firrtl reading sources... [ 93%] cmd/write_ilang reading sources... [ 94%] cmd/write_intersynth reading sources... [ 94%] cmd/write_jny reading sources... [ 95%] cmd/write_json reading sources... [ 95%] cmd/write_rtlil reading sources... [ 95%] cmd/write_simplec reading sources... [ 96%] cmd/write_smt2 reading sources... [ 96%] cmd/write_smv reading sources... [ 96%] cmd/write_spice reading sources... [ 97%] cmd/write_table reading sources... [ 97%] cmd/write_verilog reading sources... [ 97%] cmd/write_xaiger reading sources... [ 98%] cmd/xilinx_dffopt reading sources... [ 98%] cmd/xilinx_dsp reading sources... [ 99%] cmd/xilinx_srl reading sources... [ 99%] cmd/xprop reading sources... [ 99%] cmd/zinit reading sources... [100%] cmd_ref reading sources... [100%] index -/build/reproducible-path/yosys-0.33/docs/source/index.rst:30: WARNING: toctree contains reference to excluded document 'CHAPTER_Eval' -/build/reproducible-path/yosys-0.33/docs/source/index.rst:52: WARNING: toctree contains reference to excluded document 'appendix/CHAPTER_StateOfTheArt' -looking for now-outdated files... none found -pickling environment... done -checking consistency... done -copying TeX support files... copying TeX support files... -done -processing yosyshqyosys.tex... index CHAPTER_Intro CHAPTER_Basics CHAPTER_Approach CHAPTER_Overview CHAPTER_CellLib CHAPTER_Prog CHAPTER_Verilog CHAPTER_Optimize CHAPTER_Techmap CHAPTER_Memorymap appendix/CHAPTER_Auxlibs appendix/CHAPTER_Auxprogs appendix/CHAPTER_TextRtlil appendix/APPNOTE_010_Verilog_to_BLIF appendix/APPNOTE_011_Design_Investigation appendix/APPNOTE_012_Verilog_to_BTOR bib cmd_ref cmd/abc cmd/abc9 cmd/abc9_exe cmd/abc9_ops cmd/add cmd/aigmap cmd/alumacc cmd/anlogic_eqn cmd/anlogic_fixcarry cmd/assertpmux cmd/async2sync cmd/attrmap cmd/attrmvcp cmd/autoname cmd/blackbox cmd/bmuxmap cmd/bugpoint cmd/bwmuxmap cmd/cd cmd/check cmd/chformal cmd/chparam cmd/chtype cmd/clean cmd/clean_zerowidth cmd/clk2fflogic cmd/clkbufmap cmd/connect cmd/connect_rpc cmd/connwrappers cmd/coolrunner2_fixup cmd/coolrunner2_sop cmd/copy cmd/cover cmd/cutpoint cmd/debug cmd/delete cmd/deminout cmd/demuxmap cmd/design cmd/dffinit cmd/dfflegalize cmd/dfflibmap cmd/dffunmap cmd/dump cmd/echo cmd/edgetypes cmd/efinix_fixcarry cmd/equiv_add cmd/equiv_induct cmd/equiv_make cmd/equiv_mark cmd/equiv_miter cmd/equiv_opt cmd/equiv_purge cmd/equiv_remove cmd/equiv_simple cmd/equiv_status cmd/equiv_struct cmd/eval cmd/exec cmd/expose cmd/extract cmd/extract_counter cmd/extract_fa cmd/extract_reduce cmd/extractinv cmd/flatten cmd/flowmap cmd/fmcombine cmd/fminit cmd/formalff cmd/freduce cmd/fsm cmd/fsm_detect cmd/fsm_expand cmd/fsm_export cmd/fsm_extract cmd/fsm_info cmd/fsm_map cmd/fsm_opt cmd/fsm_recode cmd/fst2tb cmd/gatemate_foldinv cmd/glift cmd/greenpak4_dffinv cmd/help cmd/hierarchy cmd/hilomap cmd/history cmd/ice40_braminit cmd/ice40_dsp cmd/ice40_opt cmd/ice40_wrapcarry cmd/insbuf cmd/iopadmap cmd/jny cmd/json cmd/lattice_gsr cmd/log cmd/logger cmd/ls cmd/ltp cmd/lut2mux cmd/maccmap cmd/memory cmd/memory_bmux2rom cmd/memory_bram cmd/memory_collect cmd/memory_dff cmd/memory_libmap cmd/memory_map cmd/memory_memx cmd/memory_narrow cmd/memory_nordff cmd/memory_share cmd/memory_unpack cmd/miter cmd/mutate cmd/muxcover cmd/muxpack cmd/nlutmap cmd/onehot cmd/opt cmd/opt_clean cmd/opt_demorgan cmd/opt_dff cmd/opt_expr cmd/opt_ffinv cmd/opt_lut cmd/opt_lut_ins cmd/opt_mem cmd/opt_mem_feedback cmd/opt_mem_priority cmd/opt_mem_widen cmd/opt_merge cmd/opt_muxtree cmd/opt_reduce cmd/opt_share cmd/paramap cmd/peepopt cmd/plugin cmd/pmux2shiftx cmd/pmuxtree cmd/portlist cmd/prep cmd/printattrs cmd/proc cmd/proc_arst cmd/proc_clean cmd/proc_dff cmd/proc_dlatch cmd/proc_init cmd/proc_memwr cmd/proc_mux cmd/proc_prune cmd/proc_rmdead cmd/proc_rom cmd/qbfsat cmd/qwp cmd/read cmd/read_aiger cmd/read_blif cmd/read_ilang cmd/read_json cmd/read_liberty cmd/read_rtlil cmd/read_verilog cmd/recover_names cmd/rename cmd/rmports cmd/sat cmd/scatter cmd/scc cmd/scratchpad cmd/script cmd/select cmd/setattr cmd/setparam cmd/setundef cmd/share cmd/shell cmd/show cmd/shregmap cmd/sim cmd/simplemap cmd/splice cmd/splitcells cmd/splitnets cmd/sta cmd/stat cmd/submod cmd/supercover cmd/synth cmd/synth_achronix cmd/synth_anlogic cmd/synth_coolrunner2 cmd/synth_easic cmd/synth_ecp5 cmd/synth_efinix cmd/synth_fabulous cmd/synth_gatemate cmd/synth_gowin cmd/synth_greenpak4 cmd/synth_ice40 cmd/synth_intel cmd/synth_intel_alm cmd/synth_lattice cmd/synth_nexus cmd/synth_quicklogic cmd/synth_sf2 cmd/synth_xilinx cmd/synthprop cmd/tcl cmd/techmap cmd/tee cmd/test_abcloop cmd/test_autotb cmd/test_cell cmd/test_pmgen cmd/torder cmd/trace cmd/tribuf cmd/uniquify cmd/verific cmd/verilog_defaults cmd/verilog_defines cmd/viz cmd/wbflip cmd/wreduce cmd/write_aiger cmd/write_blif cmd/write_btor cmd/write_cxxrtl cmd/write_edif cmd/write_file cmd/write_firrtl cmd/write_ilang cmd/write_intersynth cmd/write_jny cmd/write_json cmd/write_rtlil cmd/write_simplec cmd/write_smt2 cmd/write_smv cmd/write_spice cmd/write_table cmd/write_verilog cmd/write_xaiger cmd/xilinx_dffopt cmd/xilinx_dsp cmd/xilinx_srl cmd/xprop cmd/zinit -resolving references... -done -writing... done -copying images... [ 6%] ../images/basics_abstractions.pdf copying images... [ 11%] ../images/basics_flow.pdf copying images... [ 17%] ../images/basics_parsetree.pdf copying images... [ 22%] ../images/basics_ast.pdf copying images... [ 28%] ../images/approach_flow.pdf copying images... [ 33%] ../images/overview_flow.pdf copying images... [ 39%] ../images/overview_rtlil.pdf copying images... [ 44%] ../images/verilog_flow.pdf copying images... [ 50%] ../images/011/example_out.pdf copying images... [ 56%] ../images/011/splice.pdf copying images... [ 61%] ../images/011/splitnets_libfile.pdf copying images... [ 67%] ../images/011/example_03.pdf copying images... [ 72%] ../images/011/sumprod_00.pdf copying images... [ 78%] ../images/011/sumprod_01.pdf copying images... [ 83%] ../images/011/select_prod.pdf copying images... [ 89%] ../images/011/memdemo_00.pdf copying images... [ 94%] ../images/011/memdemo_01.pdf copying images... [100%] ../images/011/submod_dots.pdf -build succeeded, 2 warnings. - -The LaTeX files are in build/latex. -Run 'make' in that directory to run these through (pdf)latex -(use `make latexpdf' here to do that automatically). -Running LaTeX files through pdflatex... -make -C build/latex all-pdf -make[4]: Entering directory '/build/reproducible-path/yosys-0.33/docs/build/latex' -latexmk -pdf -dvi- -ps- 'yosyshqyosys.tex' -Rc files read: - /etc/LatexMk - latexmkrc -Latexmk: This is Latexmk, John Collins, 7 Apr. 2024. Version 4.85. -No existing .aux file, so I'll make a simple one, and require run of *latex. -Latexmk: applying rule 'pdflatex'... -Rule 'pdflatex': Reasons for rerun -Category 'other': - Rerun of 'pdflatex' forced or previously required: - Reason or flag: 'Initial setup' - ------------- -Run number 1 of rule 'pdflatex' ------------- ------------- -Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------- -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -entering extended mode -(./yosyshqyosys.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(./sphinxmanual.cls -Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) -(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls -Document Class: report 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) -(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) -(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty -(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) -(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) -(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) -(./sphinxlatexadmonitions.sty -(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) -(./sphinxlatexliterals.sty -(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) -(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) -(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) -(./sphinxhighlight.sty) (./sphinxlatextables.sty -(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) -(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) -(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) -(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty -) (./sphinxlatexindbibtoc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) -(./sphinxlatexstylepage.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) -(./sphinxlatexstyleheadings.sty -(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) -(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty -(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) -(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty -Excluding comment 'comment') -Writing index file yosyshqyosys.idx -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./yosyshqyosys.aux) -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> -(/usr/share/texmf/tex/latex/lm/omllmm.fd)<> -(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> -(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo -nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc -}] [2] -No file yosyshqyosys.toc. -[1] [2] [1] [2] -Chapter 1. -[3] - -LaTeX Warning: Hyper reference `CHAPTER_Intro:chapter-intro' on page 4 undefine -d on input line 201. - - -LaTeX Warning: Reference `CHAPTER_Intro:chapter-intro' on page 4 undefined on i -nput line 201. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:chapter-basics' on page 4 undefi -ned on input line 204. - - -LaTeX Warning: Reference `CHAPTER_Basics:chapter-basics' on page 4 undefined on - input line 204. - - -LaTeX Warning: Hyper reference `CHAPTER_Approach:chapter-approach' on page 4 un -defined on input line 209. - - -LaTeX Warning: Reference `CHAPTER_Approach:chapter-approach' on page 4 undefine -d on input line 209. - - -LaTeX Warning: Hyper reference `CHAPTER_Overview:chapter-overview' on page 4 un -defined on input line 214. - - -LaTeX Warning: Reference `CHAPTER_Overview:chapter-overview' on page 4 undefine -d on input line 214. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:chapter-celllib' on page 4 unde -fined on input line 220. - - -LaTeX Warning: Reference `CHAPTER_CellLib:chapter-celllib' on page 4 undefined -on input line 220. - - -LaTeX Warning: Hyper reference `CHAPTER_Prog:chapter-prog' on page 4 undefined -on input line 225. - - -LaTeX Warning: Reference `CHAPTER_Prog:chapter-prog' on page 4 undefined on inp -ut line 225. - - -LaTeX Warning: Hyper reference `CHAPTER_Verilog:chapter-verilog' on page 4 unde -fined on input line 231. - - -LaTeX Warning: Reference `CHAPTER_Verilog:chapter-verilog' on page 4 undefined -on input line 231. - - -LaTeX Warning: Hyper reference `CHAPTER_Optimize:chapter-opt' on page 4 undefin -ed on input line 231. - - -LaTeX Warning: Reference `CHAPTER_Optimize:chapter-opt' on page 4 undefined on -input line 231. - - -LaTeX Warning: Hyper reference `CHAPTER_Techmap:chapter-techmap' on page 4 unde -fined on input line 232. - - -LaTeX Warning: Reference `CHAPTER_Techmap:chapter-techmap' on page 4 undefined -on input line 232. - - -LaTeX Warning: Hyper reference `cmd_ref:cmd-ref' on page 4 undefined on input l -ine 237. - -[4] -Chapter 2. - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-abstractions' on page - 5 undefined on input line 261. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-abstractions' on page 5 und -efined on input line 261. - -(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>] -[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts -/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-flow' on page 13 unde -fined on input line 827. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-flow' on page 13 undefined -on input line 827. - -[13 <./basics_flow.pdf>] - -LaTeX Warning: Hyper reference `CHAPTER_Basics:tab-basics-tokens' on page 14 un -defined on input line 896. - - -LaTeX Warning: Reference `CHAPTER_Basics:tab-basics-tokens' on page 14 undefine -d on input line 896. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 - undefined on input line 1010. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 undef -ined on input line 1010. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-ast' on page 14 undef -ined on input line 1025. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-ast' on page 14 undefined o -n input line 1025. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 - undefined on input line 1026. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 undef -ined on input line 1026. - -[14] [15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf -/fonts/enc/dvips/lm/lm-rm.enc}] [18] -Chapter 3. - -LaTeX Warning: Hyper reference `CHAPTER_Approach:fig-approach-flow' on page 19 -undefined on input line 1208. - - -LaTeX Warning: Reference `CHAPTER_Approach:fig-approach-flow' on page 19 undefi -ned on input line 1208. - -[19 <./approach_flow.pdf>] [20] - -LaTeX Warning: Hyper reference `cmd_ref:cmd-ref' on page 21 undefined on input -line 1358. - -[21] [22] -Chapter 4. - -LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-flow' on page 23 -undefined on input line 1391. - - -LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-flow' on page 23 undefi -ned on input line 1391. - -[23] - -LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-rtlil' on page 24 - undefined on input line 1457. - - -LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-rtlil' on page 24 undef -ined on input line 1457. - -[24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pdf>] -[25 <./overview_rtlil.pdf>] - -LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-rtlil' on page 26 - undefined on input line 1593. - - -LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-rtlil' on page 26 undef -ined on input line 1593. - -[26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) [27] [28] [29] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:sec-memcells' on page 30 undefi -ned on input line 1973. - - -LaTeX Warning: Reference `CHAPTER_CellLib:sec-memcells' on page 30 undefined on - input line 1973. - -[30] - -LaTeX Warning: Hyper reference `CHAPTER_Approach:sec-typusecase' on page 31 und -efined on input line 1983. - - -LaTeX Warning: Reference `CHAPTER_Approach:sec-typusecase' on page 31 undefined - on input line 1983. - - -Underfull \hbox (badness 10000) in paragraph at lines 2065--2072 -[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p -asses/*/Makefile.inc and back- -[31] -Underfull \hbox (badness 6110) in paragraph at lines 2073--2076 -[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le -arn how to write passes are -[32] -Chapter 5. - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-unary' on page 33 u -ndefined on input line 2148. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-unary' on page 33 undefin -ed on input line 2148. - -[33] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-binary' on page 34 -undefined on input line 2299. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-binary' on page 34 undefi -ned on input line 2299. - -[34] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-divmod' on page 35 -undefined on input line 2574. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-divmod' on page 35 undefi -ned on input line 2574. - -[35] [36] [37] [38] [39] [40] [41] [42] - -LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available -(Font) Font shape `T1/lmr/b/sl' tried instead on input line 3382. - -[43] [44] [45] [46] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates' on page 47 u -ndefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates' on page 47 undefin -ed on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffe' on page - 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffe' on page 47 un -defined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adff' on page - 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adff' on page 47 un -defined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adffe' on pag -e 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adffe' on page 47 u -ndefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffsr' on pag -e 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffsr' on page 47 u -ndefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffsre' on pa -ge 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffsre' on page 47 -undefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adlatch' on p -age 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adlatch' on page 47 - undefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dlatchsr' on -page 47 undefined on input line 5089. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dlatchsr' on page 4 -7 undefined on input line 5089. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-sr' on page 4 -7 undefined on input line 5089. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-sr' on page 47 unde -fined on input line 5089. - -[47] [48] [49] [50] -Chapter 6. -[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[52] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[53] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[54] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[55] [56] [57] -Underfull \vbox (badness 10000) detected at line 5745 - -Overfull \vbox (0.56999pt too high) detected at line 5745 -[58] [59] [60] -Chapter 7. - -LaTeX Warning: Hyper reference `CHAPTER_Verilog:fig-verilog-flow' on page 61 un -defined on input line 5785. - - -LaTeX Warning: Reference `CHAPTER_Verilog:fig-verilog-flow' on page 61 undefine -d on input line 5785. - -[61 <./verilog_flow.pdf>] -Underfull \hbox (badness 7777) in paragraph at lines 5988--5991 -[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 113 undefined on input line 9520. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 113 undefined on input line 9520. - - - -pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version -<1.7>, but at most version <1.5> allowed -[113] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:splic -e-src' on page 114 undefined on input line 9562. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:splice-src' - on page 114 undefined on input line 9562. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:split -nets-libfile' on page 114 undefined on input line 9587. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:splitnets-l -ibfile' on page 114 undefined on input line 9587. - - -Overfull \vbox (290.70384pt too high) has occurred while \output is active -[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 116 undefined on input line 9664. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 116 undefined on input line 9664. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 116 undefined on input line 9664. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 116 undefined on input line 9664. - -[116] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:lscd' - on page 117 undefined on input line 9717. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:lscd' on pa -ge 117 undefined on input line 9717. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 117 undefined on input line 9718. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 117 undefined on input line 9718. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:lscd' - on page 117 undefined on input line 9721. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:lscd' on pa -ge 117 undefined on input line 9721. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 117 undefined on input line 9722. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 117 undefined on input line 9722. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:dump2 -' on page 117 undefined on input line 9737. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:dump2' on p -age 117 undefined on input line 9737. - -[117] - -pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 118 undefined on input line 9754. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 118 undefined on input line 9754. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selad -d' on page 118 undefined on input line 9768. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:seladd' on -page 118 undefined on input line 9768. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selad -d' on page 118 undefined on input line 9777. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:seladd' on -page 118 undefined on input line 9777. - -[118 <./example_03.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od' on page 119 undefined on input line 9836. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on - page 119 undefined on input line 9836. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:fooba -raddsub' on page 119 undefined on input line 9851. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:foobaraddsu -b' on page 119 undefined on input line 9851. - -[119 <./sumprod_00.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od' on page 120 undefined on input line 9867. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on - page 120 undefined on input line 9867. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od-00' on page 120 undefined on input line 9874. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-00' - on page 120 undefined on input line 9874. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od-01' on page 120 undefined on input line 9884. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-01' - on page 120 undefined on input line 9884. - - - -pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od' on page 120 undefined on input line 9891. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on - page 120 undefined on input line 9891. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od-01' on page 120 undefined on input line 9897. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-01' - on page 120 undefined on input line 9897. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selec -t-prod' on page 120 undefined on input line 9909. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:select-prod -' on page 120 undefined on input line 9909. - -[120 <./sumprod_01.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 121 undefined on input line 9943. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 121 undefined on input line 9943. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 121 undefined on input line 9947. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 121 undefined on input line 9947. - -[121 <./select_prod.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 122 undefined on input line 9977. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 122 undefined on input line 9977. - -[122 <./memdemo_00.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-01' on page 123 undefined on input line 10052. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-01' - on page 123 undefined on input line 10052. - -[123 <./memdemo_01.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:submo -d' on page 124 undefined on input line 10108. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:submod' on -page 124 undefined on input line 10108. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 124 undefined on input line 10109. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 124 undefined on input line 10109. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 124 undefined on input line 10109. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 124 undefined on input line 10109. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:submo -d' on page 124 undefined on input line 10135. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:submod' on -page 124 undefined on input line 10135. - -[124] [125 <./submod_dots.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 126 undefined on input line 10191. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 126 undefined on input line 10191. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 126 undefined on input line 10191. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 126 undefined on input line 10191. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -test' on page 126 undefined on input line 10202. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' -on page 126 undefined on input line 10202. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -test' on page 126 undefined on input line 10202. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' -on page 126 undefined on input line 10202. - -[126] [127] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -test' on page 128 undefined on input line 10307. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' -on page 128 undefined on input line 10307. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 128 undefined on input line 10312. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 128 undefined on input line 10312. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 128 undefined on input line 10320. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 128 undefined on input line 10320. - -[128] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 129 undefined on input line 10338. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 129 undefined on input line 10338. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 129 undefined on input line 10338. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 129 undefined on input line 10338. - - -Underfull \vbox (badness 10000) detected at line 10411 -[129] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 130 undefined on input line 10416. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 130 undefined on input line 10416. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-sat' on page 130 undefined on input line 10418. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-sat -' on page 130 undefined on input line 10418. - -[130] [131] [132] -Appendix F. - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying --property-assert' on page 133 undefined on input line 10532. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying-prope -rty-assert' on page 133 undefined on input line 10532. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying --property-output' on page 133 undefined on input line 10540. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying-prope -rty-output' on page 133 undefined on input line 10540. - -[133] [134] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-scrip -t-memory' on page 135 undefined on input line 10613. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-script-memo -ry' on page 135 undefined on input line 10613. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-scrip -t-without-memory' on page 135 undefined on input line 10708. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-script-with -out-memory' on page 135 undefined on input line 10708. - -[135] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-memor -y' on page 136 undefined on input line 10753. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-memory' on -page 136 undefined on input line 10753. - -[136] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-witho -ut-memory' on page 137 undefined on input line 10790. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-without-mem -ory' on page 137 undefined on input line 10790. - - -Underfull \vbox (badness 5985) detected at line 10833 -[137] [138] -Appendix G. -Excluding 'comment' comment. [139] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[140] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[141] [142] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11735 -[143] -Underfull \vbox (badness 10000) detected at line 11735 - -Overfull \vbox (0.56999pt too high) detected at line 11735 -[144] [145] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11949 -[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment. -Excluding 'comment' comment. [149] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [150] -Excluding 'comment' comment. Excluding 'comment' comment. [151] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [152] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 13042 -[153] Excluding 'comment' comment. Excluding 'comment' comment. [154] -Excluding 'comment' comment. Excluding 'comment' comment. [155] -Excluding 'comment' comment. Excluding 'comment' comment. [156] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [157] -Excluding 'comment' comment. [158] Excluding 'comment' comment. -Excluding 'comment' comment. [159] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [160] Excluding 'comment' comment. [161] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [162] [163] Excluding 'comment' comment. -Excluding 'comment' comment. [164] [165] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 14953 -[166] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [167] -Excluding 'comment' comment. Excluding 'comment' comment. [168] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [169] [170] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [171] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 15928 -[172] Excluding 'comment' comment. Excluding 'comment' comment. [173] -Excluding 'comment' comment. [174] -Underfull \vbox (badness 10000) detected at line 16419 - -Overfull \vbox (0.56999pt too high) detected at line 16419 -[175] Excluding 'comment' comment. Excluding 'comment' comment. [176] -Excluding 'comment' comment. Excluding 'comment' comment. [177] -Excluding 'comment' comment. Excluding 'comment' comment. [178] -Excluding 'comment' comment. Excluding 'comment' comment. [179] -Excluding 'comment' comment. [180] Excluding 'comment' comment. -Excluding 'comment' comment. [181] Excluding 'comment' comment. [182] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [183] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [184] Excluding 'comment' comment. -Excluding 'comment' comment. [185] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 18174 -[186] Excluding 'comment' comment. [187] Excluding 'comment' comment. -Excluding 'comment' comment. [188] Excluding 'comment' comment. [189] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [190] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [191] -Excluding 'comment' comment. [192] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [193] -Excluding 'comment' comment. Excluding 'comment' comment. [194] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [195] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [196] -Underfull \vbox (badness 10000) detected at line 19830 - -Overfull \vbox (0.56999pt too high) detected at line 19830 -[197] Excluding 'comment' comment. [198] Excluding 'comment' comment. -Excluding 'comment' comment. [199] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [200] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [201] [202] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 20690 -[203] Excluding 'comment' comment. Excluding 'comment' comment. [204] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [205] Excluding 'comment' comment. -Excluding 'comment' comment. [206] Excluding 'comment' comment. -Excluding 'comment' comment. [207] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [208] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [209] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [210] Excluding 'comment' comment. -Excluding 'comment' comment. [211] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [212] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 22309 -[213] Excluding 'comment' comment. [214] Excluding 'comment' comment. -Excluding 'comment' comment. [215] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [216] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [217] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 23043 -[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [221] Excluding 'comment' comment. -Excluding 'comment' comment. [222] Excluding 'comment' comment. -Excluding 'comment' comment. [223] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[224] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[225] Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.1248pt too high) detected at line 24381 -[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[229] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[230] Excluding 'comment' comment. Excluding 'comment' comment. [231] -Excluding 'comment' comment. [232] Excluding 'comment' comment. -Excluding 'comment' comment. [233] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[234] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[235] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[236] [237] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 26099 -[238] Excluding 'comment' comment. Excluding 'comment' comment. [239] -Excluding 'comment' comment. [240] -Underfull \vbox (badness 10000) detected at line 26539 - -Overfull \vbox (0.56999pt too high) detected at line 26539 -[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243] -Underfull \vbox (badness 10000) detected at line 27097 - -Overfull \vbox (0.56999pt too high) detected at line 27097 -[244] Excluding 'comment' comment. Excluding 'comment' comment. [245] -Excluding 'comment' comment. Excluding 'comment' comment. [246] -Excluding 'comment' comment. Excluding 'comment' comment. [247] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 27917 -[248] -Underfull \vbox (badness 10000) detected at line 27917 - -Overfull \vbox (0.56999pt too high) detected at line 27917 -[249] Excluding 'comment' comment. [250] -Underfull \vbox (badness 10000) detected at line 28099 - -Overfull \vbox (0.56999pt too high) detected at line 28099 -[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 28556 -[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 29176 -[257] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[258] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[259] Excluding 'comment' comment. [260] -Underfull \vbox (badness 10000) detected at line 29414 - -Overfull \vbox (0.56999pt too high) detected at line 29414 -[261] Excluding 'comment' comment. [262] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[263] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[264] Excluding 'comment' comment. [265] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[266] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[267] Excluding 'comment' comment. [268] -Underfull \vbox (badness 10000) detected at line 30564 - -Overfull \vbox (0.56999pt too high) detected at line 30564 -[269] Excluding 'comment' comment. [270] -Underfull \vbox (badness 10000) detected at line 30781 - -Overfull \vbox (0.56999pt too high) detected at line 30781 -[271] Excluding 'comment' comment. [272] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[273] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[274] [275] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 31538 -[276] -Underfull \vbox (badness 10000) detected at line 31538 - -Overfull \vbox (0.56999pt too high) detected at line 31538 -[277] Excluding 'comment' comment. [278] -Underfull \vbox (badness 10000) detected at line 31882 - -Overfull \vbox (0.56999pt too high) detected at line 31882 -[279] Excluding 'comment' comment. [280] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[281] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[282] [283] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32712 -[284] -Underfull \vbox (badness 10000) detected at line 32712 - -Overfull \vbox (0.56999pt too high) detected at line 32712 -[285] [286] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32972 -[287] -Underfull \vbox (badness 10000) detected at line 32972 - -Overfull \vbox (0.56999pt too high) detected at line 32972 -[288] Excluding 'comment' comment. [289] -Underfull \vbox (badness 10000) detected at line 33239 - -Overfull \vbox (0.56999pt too high) detected at line 33239 -[290] Excluding 'comment' comment. [291] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[292] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295] -Excluding 'comment' comment. [296] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[297] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[298] Excluding 'comment' comment. Excluding 'comment' comment. [299] -Excluding 'comment' comment. Excluding 'comment' comment. [300] -Excluding 'comment' comment. [301] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [302] -Excluding 'comment' comment. Excluding 'comment' comment. [303] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[304] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[305] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[306] Excluding 'comment' comment. Excluding 'comment' comment. [307] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 35736 -[308] Excluding 'comment' comment. Excluding 'comment' comment. [309] -Excluding 'comment' comment. Excluding 'comment' comment. [310] -Underfull \vbox (badness 10000) detected at line 36214 - -Overfull \vbox (0.56999pt too high) detected at line 36214 -[311] Excluding 'comment' comment. Excluding 'comment' comment. [312] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[313] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[314] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[315] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[316] Excluding 'comment' comment. [317] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [318] Excluding 'comment' comment. -Excluding 'comment' comment. [319] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[320] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[321] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[322] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[323] Excluding 'comment' comment. Excluding 'comment' comment. [324] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 38291 -[325] -Underfull \vbox (badness 10000) detected at line 38291 - -Overfull \vbox (0.56999pt too high) detected at line 38291 -[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328] -Excluding 'comment' comment. Excluding 'comment' comment. [329] -Underfull \vbox (badness 10000) detected at line 38796 - -Overfull \vbox (0.56999pt too high) detected at line 38796 -[330] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [331] Excluding 'comment' comment. [332] -Excluding 'comment' comment. [333] Excluding 'comment' comment. [334] -Underfull \hbox (badness 5652) in paragraph at lines 39288--39290 -\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s -ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. - -Underfull \hbox (badness 7685) in paragraph at lines 39291--39293 -[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo -r ver-ilog hard-ware de- - -Underfull \hbox (badness 5022) in paragraph at lines 39291--39293 -\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- -sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[335] -No file yosyshqyosys.ind. - -Package longtable Warning: Table widths have changed. Rerun LaTeX. - -[336] (./yosyshqyosys.aux) - -LaTeX Warning: There were undefined references. - - -LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. - - -Package rerunfilecheck Warning: File `yosyshqyosys.out' has changed. -(rerunfilecheck) Rerun to get outlines right -(rerunfilecheck) or use package `bookmark'. - - ) -(see the transcript file for additional information) -Output written on yosyshqyosys.pdf (340 pages, 1260209 bytes). -Transcript written on yosyshqyosys.log. -Latexmk: Missing input file 'yosyshqyosys.toc' (or dependence on it) from following: - No file yosyshqyosys.toc. -Latexmk: Missing input file 'yosyshqyosys.ind' (or dependence on it) from following: - No file yosyshqyosys.ind. -Latexmk: Getting log file 'yosyshqyosys.log' -Latexmk: Examining 'yosyshqyosys.fls' -Latexmk: Examining 'yosyshqyosys.log' -Latexmk: Index file 'yosyshqyosys.idx' was written -Latexmk: References changed. -Latexmk: References changed. -Latexmk: Log file says output to 'yosyshqyosys.pdf' -Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys -Latexmk: applying rule 'makeindex yosyshqyosys.idx'... -Rule 'makeindex yosyshqyosys.idx': Reasons for rerun -Category 'other': - Rerun of 'makeindex yosyshqyosys.idx' forced or previously required: - Reason or flag: 'Initial set up of rule' - ------------- -Run number 1 of rule 'makeindex yosyshqyosys.idx' ------------- ------------- -Running 'makeindex -s python.ist -o "yosyshqyosys.ind" "yosyshqyosys.idx"' ------------- -This is makeindex, version 2.17 [TeX Live 2023] (kpathsea + Thai support). -Scanning style file ./python.ist.......done (7 attributes redefined, 0 ignored). -Scanning input file yosyshqyosys.idx...done (0 entries accepted, 0 rejected). -Nothing written in yosyshqyosys.ind. -Transcript written in yosyshqyosys.ilg. -Latexmk: applying rule 'pdflatex'... -Rule 'pdflatex': Reasons for rerun -Changed files or newly in use/created: - yosyshqyosys.aux - yosyshqyosys.ind - yosyshqyosys.out - yosyshqyosys.toc - ------------- -Run number 2 of rule 'pdflatex' ------------- ------------- -Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------- -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -entering extended mode -(./yosyshqyosys.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(./sphinxmanual.cls -Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) -(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls -Document Class: report 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) -(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) -(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty -(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) -(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) -(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) -(./sphinxlatexadmonitions.sty -(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) -(./sphinxlatexliterals.sty -(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) -(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) -(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) -(./sphinxhighlight.sty) (./sphinxlatextables.sty -(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) -(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) -(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) -(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty -) (./sphinxlatexindbibtoc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) -(./sphinxlatexstylepage.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) -(./sphinxlatexstyleheadings.sty -(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) -(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty -(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) -(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty -Excluding comment 'comment') -Writing index file yosyshqyosys.idx -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./yosyshqyosys.aux) -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(./yosyshqyosys.out) (./yosyshqyosys.out) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> -(/usr/share/texmf/tex/latex/lm/omllmm.fd)<> -(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> -(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo -nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc -}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] [6]) [7] [8] [1] [2] -Chapter 1. -[3] [4] -Chapter 2. -(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>] -[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts -/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] [13 <./basics_flow.pdf>] [14] -[15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf/font -s/enc/dvips/lm/lm-rm.enc}] [18] -Chapter 3. -[19 <./approach_flow.pdf>] [20] [21] [22] -Chapter 4. -[23] [24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pd -f>] [25 <./overview_rtlil.pdf>] [26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) - [27] [28] [29] [30] -Underfull \hbox (badness 10000) in paragraph at lines 2065--2072 -[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p -asses/*/Makefile.inc and back- -[31] -Underfull \hbox (badness 6110) in paragraph at lines 2073--2076 -[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le -arn how to write passes are -[32] -Chapter 5. -[33] [34] [35] [36] [37] [38] [39] [40] [41] [42] - -LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available -(Font) Font shape `T1/lmr/b/sl' tried instead on input line 3382. - -[43] [44] [45] [46] [47] [48] [49] [50] -Chapter 6. -[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[52] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[53] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[54] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[55] [56] [57] -Underfull \vbox (badness 10000) detected at line 5745 - -Overfull \vbox (0.56999pt too high) detected at line 5745 -[58] [59] [60] -Chapter 7. -[61 <./verilog_flow.pdf>] -Underfull \hbox (badness 7777) in paragraph at lines 5988--5991 -[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] - -pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version -<1.7>, but at most version <1.5> allowed -[113] -Overfull \vbox (290.70384pt too high) has occurred while \output is active -[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] [116] [117] - -pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[118 <./example_03.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[119 <./sumprod_00.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[120 <./sumprod_01.pdf>] [121 <./select_prod.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[122 <./memdemo_00.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[123 <./memdemo_01.pdf>] [124] [125 <./submod_dots.pdf>] [126] [127] [128] -Underfull \vbox (badness 10000) detected at line 10411 -[129] [130] [131] [132] -Appendix F. -[133] [134] [135] [136] -Underfull \vbox (badness 5985) detected at line 10833 -[137] [138] -Appendix G. -Excluding 'comment' comment. [139] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[140] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[141] [142] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11735 -[143] -Underfull \vbox (badness 10000) detected at line 11735 - -Overfull \vbox (0.56999pt too high) detected at line 11735 -[144] [145] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11949 -[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment. -Excluding 'comment' comment. [149] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [150] -Excluding 'comment' comment. Excluding 'comment' comment. [151] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [152] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 13042 -[153] Excluding 'comment' comment. Excluding 'comment' comment. [154] -Excluding 'comment' comment. Excluding 'comment' comment. [155] -Excluding 'comment' comment. Excluding 'comment' comment. [156] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [157] -Excluding 'comment' comment. [158] Excluding 'comment' comment. -Excluding 'comment' comment. [159] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [160] Excluding 'comment' comment. [161] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [162] [163] Excluding 'comment' comment. -Excluding 'comment' comment. [164] [165] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 14953 -[166] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [167] -Excluding 'comment' comment. Excluding 'comment' comment. [168] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [169] [170] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [171] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 15928 -[172] Excluding 'comment' comment. Excluding 'comment' comment. [173] -Excluding 'comment' comment. [174] -Underfull \vbox (badness 10000) detected at line 16419 - -Overfull \vbox (0.56999pt too high) detected at line 16419 -[175] Excluding 'comment' comment. Excluding 'comment' comment. [176] -Excluding 'comment' comment. Excluding 'comment' comment. [177] -Excluding 'comment' comment. Excluding 'comment' comment. [178] -Excluding 'comment' comment. Excluding 'comment' comment. [179] -Excluding 'comment' comment. [180] Excluding 'comment' comment. -Excluding 'comment' comment. [181] Excluding 'comment' comment. [182] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [183] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [184] Excluding 'comment' comment. -Excluding 'comment' comment. [185] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 18174 -[186] Excluding 'comment' comment. [187] Excluding 'comment' comment. -Excluding 'comment' comment. [188] Excluding 'comment' comment. [189] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [190] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [191] -Excluding 'comment' comment. [192] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [193] -Excluding 'comment' comment. Excluding 'comment' comment. [194] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [195] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [196] -Underfull \vbox (badness 10000) detected at line 19830 - -Overfull \vbox (0.56999pt too high) detected at line 19830 -[197] Excluding 'comment' comment. [198] Excluding 'comment' comment. -Excluding 'comment' comment. [199] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [200] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [201] [202] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 20690 -[203] Excluding 'comment' comment. Excluding 'comment' comment. [204] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [205] Excluding 'comment' comment. -Excluding 'comment' comment. [206] Excluding 'comment' comment. -Excluding 'comment' comment. [207] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [208] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [209] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [210] Excluding 'comment' comment. -Excluding 'comment' comment. [211] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [212] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 22309 -[213] Excluding 'comment' comment. [214] Excluding 'comment' comment. -Excluding 'comment' comment. [215] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [216] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [217] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 23043 -[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [221] Excluding 'comment' comment. -Excluding 'comment' comment. [222] Excluding 'comment' comment. -Excluding 'comment' comment. [223] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[224] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[225] Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.1248pt too high) detected at line 24381 -[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[229] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[230] Excluding 'comment' comment. Excluding 'comment' comment. [231] -Excluding 'comment' comment. [232] Excluding 'comment' comment. -Excluding 'comment' comment. [233] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[234] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[235] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[236] [237] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 26099 -[238] Excluding 'comment' comment. Excluding 'comment' comment. [239] -Excluding 'comment' comment. [240] -Underfull \vbox (badness 10000) detected at line 26539 - -Overfull \vbox (0.56999pt too high) detected at line 26539 -[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243] -Underfull \vbox (badness 10000) detected at line 27097 - -Overfull \vbox (0.56999pt too high) detected at line 27097 -[244] Excluding 'comment' comment. Excluding 'comment' comment. [245] -Excluding 'comment' comment. Excluding 'comment' comment. [246] -Excluding 'comment' comment. Excluding 'comment' comment. [247] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 27917 -[248] -Underfull \vbox (badness 10000) detected at line 27917 - -Overfull \vbox (0.56999pt too high) detected at line 27917 -[249] Excluding 'comment' comment. [250] -Underfull \vbox (badness 10000) detected at line 28099 - -Overfull \vbox (0.56999pt too high) detected at line 28099 -[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 28556 -[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 29176 -[257] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[258] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[259] Excluding 'comment' comment. [260] -Underfull \vbox (badness 10000) detected at line 29414 - -Overfull \vbox (0.56999pt too high) detected at line 29414 -[261] Excluding 'comment' comment. [262] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[263] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[264] Excluding 'comment' comment. [265] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[266] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[267] Excluding 'comment' comment. [268] -Underfull \vbox (badness 10000) detected at line 30564 - -Overfull \vbox (0.56999pt too high) detected at line 30564 -[269] Excluding 'comment' comment. [270] -Underfull \vbox (badness 10000) detected at line 30781 - -Overfull \vbox (0.56999pt too high) detected at line 30781 -[271] Excluding 'comment' comment. [272] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[273] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[274] [275] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 31538 -[276] -Underfull \vbox (badness 10000) detected at line 31538 - -Overfull \vbox (0.56999pt too high) detected at line 31538 -[277] Excluding 'comment' comment. [278] -Underfull \vbox (badness 10000) detected at line 31882 - -Overfull \vbox (0.56999pt too high) detected at line 31882 -[279] Excluding 'comment' comment. [280] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[281] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[282] [283] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32712 -[284] -Underfull \vbox (badness 10000) detected at line 32712 - -Overfull \vbox (0.56999pt too high) detected at line 32712 -[285] [286] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32972 -[287] -Underfull \vbox (badness 10000) detected at line 32972 - -Overfull \vbox (0.56999pt too high) detected at line 32972 -[288] Excluding 'comment' comment. [289] -Underfull \vbox (badness 10000) detected at line 33239 - -Overfull \vbox (0.56999pt too high) detected at line 33239 -[290] Excluding 'comment' comment. [291] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[292] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295] -Excluding 'comment' comment. [296] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[297] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[298] Excluding 'comment' comment. Excluding 'comment' comment. [299] -Excluding 'comment' comment. Excluding 'comment' comment. [300] -Excluding 'comment' comment. [301] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [302] -Excluding 'comment' comment. Excluding 'comment' comment. [303] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[304] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[305] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[306] Excluding 'comment' comment. Excluding 'comment' comment. [307] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 35736 -[308] Excluding 'comment' comment. Excluding 'comment' comment. [309] -Excluding 'comment' comment. Excluding 'comment' comment. [310] -Underfull \vbox (badness 10000) detected at line 36214 - -Overfull \vbox (0.56999pt too high) detected at line 36214 -[311] Excluding 'comment' comment. Excluding 'comment' comment. [312] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[313] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[314] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[315] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[316] Excluding 'comment' comment. [317] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [318] Excluding 'comment' comment. -Excluding 'comment' comment. [319] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[320] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[321] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[322] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[323] Excluding 'comment' comment. Excluding 'comment' comment. [324] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 38291 -[325] -Underfull \vbox (badness 10000) detected at line 38291 - -Overfull \vbox (0.56999pt too high) detected at line 38291 -[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328] -Excluding 'comment' comment. Excluding 'comment' comment. [329] -Underfull \vbox (badness 10000) detected at line 38796 - -Overfull \vbox (0.56999pt too high) detected at line 38796 -[330] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [331] Excluding 'comment' comment. [332] -Excluding 'comment' comment. [333] Excluding 'comment' comment. [334] -Underfull \hbox (badness 5652) in paragraph at lines 39288--39290 -\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s -ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. - -Underfull \hbox (badness 7685) in paragraph at lines 39291--39293 -[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo -r ver-ilog hard-ware de- - -Underfull \hbox (badness 5022) in paragraph at lines 39291--39293 -\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- -sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[335] (./yosyshqyosys.ind) [336] (./yosyshqyosys.aux) ) -(see the transcript file for additional information) -Output written on yosyshqyosys.pdf (346 pages, 1329589 bytes). -Transcript written on yosyshqyosys.log. -Latexmk: Getting log file 'yosyshqyosys.log' -Latexmk: Examining 'yosyshqyosys.fls' -Latexmk: Examining 'yosyshqyosys.log' -Latexmk: Index file 'yosyshqyosys.idx' was written -Latexmk: Log file says output to 'yosyshqyosys.pdf' -Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys -Latexmk: applying rule 'pdflatex'... -Rule 'pdflatex': Reasons for rerun -Changed files or newly in use/created: - yosyshqyosys.aux - ------------- -Run number 3 of rule 'pdflatex' ------------- ------------- -Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------- -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -entering extended mode -(./yosyshqyosys.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(./sphinxmanual.cls -Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) -(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls -Document Class: report 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) -(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) -(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty -(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) -(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) -(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) -(./sphinxlatexadmonitions.sty -(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) -(./sphinxlatexliterals.sty -(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) -(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) -(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) -(./sphinxhighlight.sty) (./sphinxlatextables.sty -(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) -(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) -(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) -(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty -) (./sphinxlatexindbibtoc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) -(./sphinxlatexstylepage.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) -(./sphinxlatexstyleheadings.sty -(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) -(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty -(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) -(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty -Excluding comment 'comment') -Writing index file yosyshqyosys.idx -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./yosyshqyosys.aux) -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(./yosyshqyosys.out) (./yosyshqyosys.out) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> -(/usr/share/texmf/tex/latex/lm/omllmm.fd)<> -(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> -(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo -nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc -}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] [6]) [7] [8] [1] [2] -Chapter 1. -[3] [4] -Chapter 2. -(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>] -[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts -/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] [13 <./basics_flow.pdf>] [14] -[15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf/font -s/enc/dvips/lm/lm-rm.enc}] [18] -Chapter 3. -[19 <./approach_flow.pdf>] [20] [21] [22] -Chapter 4. -[23] [24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pd -f>] [25 <./overview_rtlil.pdf>] [26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) - [27] [28] [29] [30] -Underfull \hbox (badness 10000) in paragraph at lines 2065--2072 -[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p -asses/*/Makefile.inc and back- -[31] -Underfull \hbox (badness 6110) in paragraph at lines 2073--2076 -[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le -arn how to write passes are -[32] -Chapter 5. -[33] [34] [35] [36] [37] [38] [39] [40] [41] [42] - -LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available -(Font) Font shape `T1/lmr/b/sl' tried instead on input line 3382. - -[43] [44] [45] [46] [47] [48] [49] [50] -Chapter 6. -[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[52] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[53] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[54] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[55] [56] [57] -Underfull \vbox (badness 10000) detected at line 5745 - -Overfull \vbox (0.56999pt too high) detected at line 5745 -[58] [59] [60] -Chapter 7. -[61 <./verilog_flow.pdf>] -Underfull \hbox (badness 7777) in paragraph at lines 5988--5991 -[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] - -pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version -<1.7>, but at most version <1.5> allowed -[113] -Overfull \vbox (290.70384pt too high) has occurred while \output is active -[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] [116] [117] - -pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[118 <./example_03.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[119 <./sumprod_00.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[120 <./sumprod_01.pdf>] [121 <./select_prod.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[122 <./memdemo_00.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[123 <./memdemo_01.pdf>] [124] [125 <./submod_dots.pdf>] [126] [127] [128] -Underfull \vbox (badness 10000) detected at line 10411 -[129] [130] [131] [132] -Appendix F. -[133] [134] [135] [136] -Underfull \vbox (badness 5985) detected at line 10833 -[137] [138] -Appendix G. -Excluding 'comment' comment. [139] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[140] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[141] [142] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11735 -[143] -Underfull \vbox (badness 10000) detected at line 11735 - -Overfull \vbox (0.56999pt too high) detected at line 11735 -[144] [145] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11949 -[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment. -Excluding 'comment' comment. [149] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [150] -Excluding 'comment' comment. Excluding 'comment' comment. [151] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [152] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 13042 -[153] Excluding 'comment' comment. Excluding 'comment' comment. [154] -Excluding 'comment' comment. Excluding 'comment' comment. [155] -Excluding 'comment' comment. Excluding 'comment' comment. [156] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [157] -Excluding 'comment' comment. [158] Excluding 'comment' comment. -Excluding 'comment' comment. [159] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [160] Excluding 'comment' comment. [161] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [162] [163] Excluding 'comment' comment. -Excluding 'comment' comment. [164] [165] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 14953 -[166] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [167] -Excluding 'comment' comment. Excluding 'comment' comment. [168] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [169] [170] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [171] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 15928 -[172] Excluding 'comment' comment. Excluding 'comment' comment. [173] -Excluding 'comment' comment. [174] -Underfull \vbox (badness 10000) detected at line 16419 - -Overfull \vbox (0.56999pt too high) detected at line 16419 -[175] Excluding 'comment' comment. Excluding 'comment' comment. [176] -Excluding 'comment' comment. Excluding 'comment' comment. [177] -Excluding 'comment' comment. Excluding 'comment' comment. [178] -Excluding 'comment' comment. Excluding 'comment' comment. [179] -Excluding 'comment' comment. [180] Excluding 'comment' comment. -Excluding 'comment' comment. [181] Excluding 'comment' comment. [182] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [183] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [184] Excluding 'comment' comment. -Excluding 'comment' comment. [185] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 18174 -[186] Excluding 'comment' comment. [187] Excluding 'comment' comment. -Excluding 'comment' comment. [188] Excluding 'comment' comment. [189] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [190] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [191] -Excluding 'comment' comment. [192] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [193] -Excluding 'comment' comment. Excluding 'comment' comment. [194] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [195] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [196] -Underfull \vbox (badness 10000) detected at line 19830 - -Overfull \vbox (0.56999pt too high) detected at line 19830 -[197] Excluding 'comment' comment. [198] Excluding 'comment' comment. -Excluding 'comment' comment. [199] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [200] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [201] [202] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 20690 -[203] Excluding 'comment' comment. Excluding 'comment' comment. [204] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [205] Excluding 'comment' comment. -Excluding 'comment' comment. [206] Excluding 'comment' comment. -Excluding 'comment' comment. [207] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [208] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [209] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [210] Excluding 'comment' comment. -Excluding 'comment' comment. [211] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [212] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 22309 -[213] Excluding 'comment' comment. [214] Excluding 'comment' comment. -Excluding 'comment' comment. [215] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [216] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [217] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 23043 -[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [221] Excluding 'comment' comment. -Excluding 'comment' comment. [222] Excluding 'comment' comment. -Excluding 'comment' comment. [223] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[224] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[225] Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.1248pt too high) detected at line 24381 -[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[229] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[230] Excluding 'comment' comment. Excluding 'comment' comment. [231] -Excluding 'comment' comment. [232] Excluding 'comment' comment. -Excluding 'comment' comment. [233] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[234] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[235] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[236] [237] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 26099 -[238] Excluding 'comment' comment. Excluding 'comment' comment. [239] -Excluding 'comment' comment. [240] -Underfull \vbox (badness 10000) detected at line 26539 - -Overfull \vbox (0.56999pt too high) detected at line 26539 -[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243] -Underfull \vbox (badness 10000) detected at line 27097 - -Overfull \vbox (0.56999pt too high) detected at line 27097 -[244] Excluding 'comment' comment. Excluding 'comment' comment. [245] -Excluding 'comment' comment. Excluding 'comment' comment. [246] -Excluding 'comment' comment. Excluding 'comment' comment. [247] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 27917 -[248] -Underfull \vbox (badness 10000) detected at line 27917 - -Overfull \vbox (0.56999pt too high) detected at line 27917 -[249] Excluding 'comment' comment. [250] -Underfull \vbox (badness 10000) detected at line 28099 - -Overfull \vbox (0.56999pt too high) detected at line 28099 -[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 28556 -[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 29176 -[257] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[258] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[259] Excluding 'comment' comment. [260] -Underfull \vbox (badness 10000) detected at line 29414 - -Overfull \vbox (0.56999pt too high) detected at line 29414 -[261] Excluding 'comment' comment. [262] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[263] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[264] Excluding 'comment' comment. [265] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[266] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[267] Excluding 'comment' comment. [268] -Underfull \vbox (badness 10000) detected at line 30564 - -Overfull \vbox (0.56999pt too high) detected at line 30564 -[269] Excluding 'comment' comment. [270] -Underfull \vbox (badness 10000) detected at line 30781 - -Overfull \vbox (0.56999pt too high) detected at line 30781 -[271] Excluding 'comment' comment. [272] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[273] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[274] [275] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 31538 -[276] -Underfull \vbox (badness 10000) detected at line 31538 - -Overfull \vbox (0.56999pt too high) detected at line 31538 -[277] Excluding 'comment' comment. [278] -Underfull \vbox (badness 10000) detected at line 31882 - -Overfull \vbox (0.56999pt too high) detected at line 31882 -[279] Excluding 'comment' comment. [280] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[281] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[282] [283] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32712 -[284] -Underfull \vbox (badness 10000) detected at line 32712 - -Overfull \vbox (0.56999pt too high) detected at line 32712 -[285] [286] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32972 -[287] -Underfull \vbox (badness 10000) detected at line 32972 - -Overfull \vbox (0.56999pt too high) detected at line 32972 -[288] Excluding 'comment' comment. [289] -Underfull \vbox (badness 10000) detected at line 33239 - -Overfull \vbox (0.56999pt too high) detected at line 33239 -[290] Excluding 'comment' comment. [291] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[292] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295] -Excluding 'comment' comment. [296] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[297] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[298] Excluding 'comment' comment. Excluding 'comment' comment. [299] -Excluding 'comment' comment. Excluding 'comment' comment. [300] -Excluding 'comment' comment. [301] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [302] -Excluding 'comment' comment. Excluding 'comment' comment. [303] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[304] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[305] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[306] Excluding 'comment' comment. Excluding 'comment' comment. [307] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 35736 -[308] Excluding 'comment' comment. Excluding 'comment' comment. [309] -Excluding 'comment' comment. Excluding 'comment' comment. [310] -Underfull \vbox (badness 10000) detected at line 36214 - -Overfull \vbox (0.56999pt too high) detected at line 36214 -[311] Excluding 'comment' comment. Excluding 'comment' comment. [312] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[313] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[314] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[315] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[316] Excluding 'comment' comment. [317] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [318] Excluding 'comment' comment. -Excluding 'comment' comment. [319] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[320] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[321] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[322] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[323] Excluding 'comment' comment. Excluding 'comment' comment. [324] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 38291 -[325] -Underfull \vbox (badness 10000) detected at line 38291 - -Overfull \vbox (0.56999pt too high) detected at line 38291 -[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328] -Excluding 'comment' comment. Excluding 'comment' comment. [329] -Underfull \vbox (badness 10000) detected at line 38796 - -Overfull \vbox (0.56999pt too high) detected at line 38796 -[330] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [331] Excluding 'comment' comment. [332] -Excluding 'comment' comment. [333] Excluding 'comment' comment. [334] -Underfull \hbox (badness 5652) in paragraph at lines 39288--39290 -\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s -ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. - -Underfull \hbox (badness 7685) in paragraph at lines 39291--39293 -[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo -r ver-ilog hard-ware de- - -Underfull \hbox (badness 5022) in paragraph at lines 39291--39293 -\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- -sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[335] (./yosyshqyosys.ind) [336] (./yosyshqyosys.aux) ) -(see the transcript file for additional information) -Output written on yosyshqyosys.pdf (346 pages, 1329589 bytes). -Transcript written on yosyshqyosys.log. -Latexmk: Getting log file 'yosyshqyosys.log' -Latexmk: Examining 'yosyshqyosys.fls' -Latexmk: Examining 'yosyshqyosys.log' -Latexmk: Index file 'yosyshqyosys.idx' was written -Latexmk: Log file says output to 'yosyshqyosys.pdf' -Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys -Latexmk: All targets (yosyshqyosys.pdf) are up-to-date - -make[4]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/build/latex' -pdflatex finished; the PDF files are in build/latex. -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/docs' +make[2]: *** [Makefile:971: docs/gen_images] Error 2 make[2]: Leaving directory '/build/reproducible-path/yosys-0.33' -cd manual/ && ./presentation.sh -+ false -+ md5sum '*.aux' '*.snm' '*.nav' '*.toc' -md5sum: '*.aux': No such file or directory -md5sum: '*.snm': No such file or directory -md5sum: '*.nav': No such file or directory -md5sum: '*.toc': No such file or directory -+ make -C PRESENTATION_Intro -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Intro' -../../yosys counter.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf' - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Executing MEMORY pass. - -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -7. Executing OPT pass (performing simple optimizations). - -7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). - -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). - -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). - -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). - -9. Executing OPT pass (performing simple optimizations). - -9.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -9.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.6. Executing OPT_DFF pass (perform DFF optimizations). - -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -9.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -9.9. Finished OPT passes. (There is nothing left to do.) - -10. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf' - -11. Executing TECHMAP pass (map to technology primitives). - -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.33/share/techmap.v -Parsing Verilog input from `/build/reproducible-path/yosys-0.33/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Using template $paramod\_90_lcu\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - - -12. Executing OPT pass (performing simple optimizations). - -12.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - - -12.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. - -Removed a total of 1 cells. - -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -12.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.6. Executing OPT_DFF pass (perform DFF optimizations). - -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 32 unused wires. - - -12.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -12.9. Rerunning OPT passes. (Maybe there is more to do..) - -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -12.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.13. Executing OPT_DFF pass (perform DFF optimizations). - -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -12.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -12.16. Finished OPT passes. (There is nothing left to do.) - -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Removed 0 unused cells and 2 unused wires. - -14. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf' - -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ - -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. - -16. Executing ABC pass (technology mapping using ABC). - -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. - -16.1.1. Executing ABC. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /manual/PRESENTATION_Intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.00 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -16.1.2. Re-integrating ABC results. -ABC RESULTS: NAND cells: 3 -ABC RESULTS: NOT cells: 2 -ABC RESULTS: NOR cells: 5 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -17.1. Executing Verilog-2005 frontend: mycells.v -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' - -End of script. Logfile hash: 1423b7c050, CPU: user 0.22s system 0.03s, MEM: 9.26 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 86% 4x show (2 sec), 6% 1x abc (0 sec), ... -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Intro' -+ make -C PRESENTATION_ExSyn -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExSyn' -../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' -- - --- Executing script file `proc_01.ys' -- - -1. Executing Verilog-2005 frontend: proc_01.v -Parsing Verilog input from `proc_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_01.v:2$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_01.v:2$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. - created $adff cell `$procdff$2' with positive edge clock and positive level reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_01.v:2$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 1 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `proc_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' - -End of script. Logfile hash: c6fd6e6895, CPU: user 0.02s system 0.01s, MEM: 7.25 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' -- - --- Executing script file `proc_02.ys' -- - -1. Executing Verilog-2005 frontend: proc_02.v -Parsing Verilog input from `proc_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_02.v:3$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_02.v:3$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. -Warning: Async reset value `\RV' is not constant! - created $aldff cell `$procdff$2' with positive edge clock and positive level non-const reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_02.v:3$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 1 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `proc_02.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' - -Warnings: 1 unique messages, 1 total -End of script. Logfile hash: 6c9c8edaef, CPU: user 0.02s system 0.00s, MEM: 7.27 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' -- - --- Executing script file `proc_03.ys' -- - -1. Executing Verilog-2005 frontend: proc_03.v -Parsing Verilog input from `proc_03.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_03.v:3$1'. - 1/1: $0\Y[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. -Removing empty process `test.$proc$proc_03.v:3$1'. -Cleaned up 2 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 4 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `proc_03.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' - -End of script. Logfile hash: 13c48860df, CPU: user 0.02s system 0.00s, MEM: 7.26 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' -- - --- Executing script file `opt_01.ys' -- - -1. Executing Verilog-2005 frontend: opt_01.v -Parsing Verilog input from `opt_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing OPT pass (performing simple optimizations). - -3.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$opt_01.v:2$1. -Removed 1 multiplexer ports. - - -3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. - Optimizing cells in module \test. -Performed a total of 1 changes. - -3.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.6. Executing OPT_DFF pass (perform DFF optimizations). - -3.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -3.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.9. Rerunning OPT passes. (Maybe there is more to do..) - -3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.13. Executing OPT_DFF pass (perform DFF optimizations). - -3.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -3.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.16. Finished OPT passes. (There is nothing left to do.) - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' - -End of script. Logfile hash: 9f52b2c276, CPU: user 0.03s system 0.01s, MEM: 7.09 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... -../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' -- - --- Executing script file `opt_02.ys' -- - -1. Executing Verilog-2005 frontend: opt_02.v -Parsing Verilog input from `opt_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing OPT pass (performing simple optimizations). - -3.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -3.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.6. Executing OPT_DFF pass (perform DFF optimizations). - -3.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -3.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.9. Rerunning OPT passes. (Maybe there is more to do..) - -3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.13. Executing OPT_DFF pass (perform DFF optimizations). - -3.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -3.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.16. Finished OPT passes. (There is nothing left to do.) - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_02.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' - -End of script. Logfile hash: 5a4000bb43, CPU: user 0.04s system 0.00s, MEM: 7.09 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... -../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' -- - --- Executing script file `opt_03.ys' -- - -1. Executing Verilog-2005 frontend: opt_03.v -Parsing Verilog input from `opt_03.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing OPT pass (performing simple optimizations). - -3.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. - -Removed a total of 1 cells. - -3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.6. Executing OPT_DFF pass (perform DFF optimizations). - -3.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -3.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.9. Rerunning OPT passes. (Maybe there is more to do..) - -3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.13. Executing OPT_DFF pass (perform DFF optimizations). - -3.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -3.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.16. Finished OPT passes. (There is nothing left to do.) - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_03.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' - -End of script. Logfile hash: 0cd024bc02, CPU: user 0.04s system 0.00s, MEM: 6.97 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... -../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' -- - --- Executing script file `opt_04.ys' -- - -1. Executing Verilog-2005 frontend: opt_04.v -Parsing Verilog input from `opt_04.v' to AST representation. -Generating RTLIL representation for module `\test'. -Warning: wire '\Q1' is assigned in a block at opt_04.v:8.3-8.11. -Warning: wire '\Q2' is assigned in a block at opt_04.v:12.3-12.11. -Warning: wire '\Q2' is assigned in a block at opt_04.v:14.3-14.11. -Warning: wire '\Q3' is assigned in a block at opt_04.v:17.2-17.10. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \ARST in `\test.$proc$opt_04.v:10$2'. -Found async reset \ARST in `\test.$proc$opt_04.v:6$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$opt_04.v:16$3'. -Creating decoders for process `\test.$proc$opt_04.v:10$2'. - 1/1: $0\Q2[7:0] -Creating decoders for process `\test.$proc$opt_04.v:6$1'. - 1/1: $0\Q1[7:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'. - created $dff cell `$procdff$4' with positive edge clock. -Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'. - created $adff cell `$procdff$5' with positive edge clock and positive level reset. -Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'. - created $adff cell `$procdff$6' with positive edge clock and positive level reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$opt_04.v:16$3'. -Removing empty process `test.$proc$opt_04.v:10$2'. -Removing empty process `test.$proc$opt_04.v:6$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Handling const CLK on $procdff$5 ($adff) from module test (removing D path). -Handling D = Q on $procdff$6 ($adff) from module test (removing D path). -Setting constant 0-bit at position 0 on $procdff$6 ($dlatch) from module test. -Setting constant 1-bit at position 1 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 2 on $procdff$6 ($dlatch) from module test. -Setting constant 1-bit at position 3 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 4 on $procdff$6 ($dlatch) from module test. -Setting constant 1-bit at position 5 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 6 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 7 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 0 on $procdff$5 ($dlatch) from module test. -Setting constant 1-bit at position 1 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 2 on $procdff$5 ($dlatch) from module test. -Setting constant 1-bit at position 3 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 4 on $procdff$5 ($dlatch) from module test. -Setting constant 1-bit at position 5 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 6 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 7 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 0 on $procdff$4 ($dff) from module test. -Setting constant 1-bit at position 1 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 2 on $procdff$4 ($dff) from module test. -Setting constant 1-bit at position 3 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 4 on $procdff$4 ($dff) from module test. -Setting constant 1-bit at position 5 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 6 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 7 on $procdff$4 ($dff) from module test. - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 3 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.16. Finished OPT passes. (There is nothing left to do.) - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_04.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' - -Warnings: 4 unique messages, 4 total -End of script. Logfile hash: 350e16de2a, CPU: user 0.04s system 0.00s, MEM: 7.30 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 94% 1x show (0 sec), 1% 4x opt_expr (0 sec), ... -../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' -- - --- Executing script file `memory_01.ys' -- - -1. Executing Verilog-2005 frontend: memory_01.v -Parsing Verilog input from `memory_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 4 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_01.v:5$2'. - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$7' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$9' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$10' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_01.v:5$2'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 3 unused cells and 7 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.mem write port 0. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Checking read port `\mem'[0] in module `\test': merging output FF to cell. - Write port 0: non-transparent. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 9 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \test: - created 2 $dff cells and 0 static cells of width 8. -Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] - read interface: 1 $dff and 1 $mux cells. - write interface: 2 write mux blocks. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). -Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 2 unused wires. - - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Rerunning OPT passes. (Maybe there is more to do..) - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.19. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `memory_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' - -End of script. Logfile hash: d4215140a5, CPU: user 0.08s system 0.01s, MEM: 7.34 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 89% 1x show (0 sec), 2% 5x opt_expr (0 sec), ... -../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' -- - --- Executing script file `memory_02.ys' -- - -1. Executing Verilog-2005 frontend: memory_02.v -Parsing Verilog input from `memory_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. -Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 8 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_02.v:24$19'. -Creating decoders for process `\test.$proc$memory_02.v:21$17'. -Creating decoders for process `\test.$proc$memory_02.v:17$10'. - 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 - 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 - 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 -Creating decoders for process `\test.$proc$memory_02.v:13$3'. - 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 - 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 - 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$46' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_02.v:24$19'. -Removing empty process `test.$proc$memory_02.v:21$17'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. -Removing empty process `test.$proc$memory_02.v:17$10'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. -Removing empty process `test.$proc$memory_02.v:13$3'. -Cleaned up 2 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 6 unused cells and 26 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.memory write port 0. - Analyzing test.memory write port 1. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Checking read port `\memory'[0] in module `\test': merging output FF to cell. -Checking read port `\memory'[1] in module `\test': merging output FF to cell. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 18 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Consolidating read ports of memory test.memory by address: -Consolidating write ports of memory test.memory by address: -Consolidating write ports of memory test.memory using sat-based resource sharing: - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. - Consolidated identical input bits for $mux cell $procmux$31: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] - New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } - Consolidated identical input bits for $mux cell $procmux$22: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] - New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } - Optimizing cells in module \test. -Performed a total of 2 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 4 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Rerunning OPT passes. (Maybe there is more to do..) - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.19. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `memory_02.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' - -End of script. Logfile hash: 766b5cd017, CPU: user 0.08s system 0.02s, MEM: 7.43 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 89% 1x show (0 sec), 2% 5x opt_clean (0 sec), ... -../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' -- - --- Executing script file `techmap_01.ys' -- - -1. Executing Verilog-2005 frontend: techmap_01.v -Parsing Verilog input from `techmap_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: techmap_01_map.v -Parsing Verilog input from `techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. -No more expansions possible. - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `techmap_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' - -End of script. Logfile hash: b9ee13b07b, CPU: user 0.02s system 0.01s, MEM: 7.31 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 97% 1x show (0 sec), 0% 1x techmap (0 sec), ... -../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' -- - --- Executing script file `abc_01.ys' -- - -1. Executing Verilog-2005 frontend: abc_01.v -Parsing Verilog input from `abc_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: abc_01_cells.v -Parsing Verilog input from `abc_01_cells.v' to AST representation. -Generating RTLIL representation for module `\BUF'. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Generating RTLIL representation for module `\DFFSR'. -Successfully finished Verilog frontend. - -3. Executing HIERARCHY pass (managing design hierarchy). - -3.1. Analyzing design hierarchy.. -Top module: \test - -3.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 3 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$abc_01.v:5$1'. - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'. - created $dff cell `$procdff$3' with positive edge clock. -Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'. - created $dff cell `$procdff$4' with positive edge clock. -Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'. - created $dff cell `$procdff$5' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$abc_01.v:5$1'. -Cleaned up 0 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 3 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Executing TECHMAP pass (map to technology primitives). - -6.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.33/share/techmap.v -Parsing Verilog input from `/build/reproducible-path/yosys-0.33/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -6.2. Continuing TECHMAP pass. -Using extmapper simplemap for cells of type $reduce_xor. -Using extmapper simplemap for cells of type $dff. -No more expansions possible. - - -7. Executing ABC pass (technology mapping using ABC). - -7.1. Summary of detected clock domains: - 9 cells in clk=\clk, en={ }, arst={ }, srst={ } - -7.2. Extracting gate netlist of module `\test' to `/input.blif'.. -Found matching posedge clock domain: \clk -Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs. - -7.2.1. Executing ABC. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /manual/PRESENTATION_ExSyn/abc_01_cells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR". -ABC: Library "demo" from "/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.00 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: Warning: 7 registers in this network have don't-care init values. -ABC: The don't-care are assumed to be 0. The result may not verify. -ABC: Use command "print_latch" to see the init values of registers. -ABC: Use command "zero" to convert or "init" to change the values. -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -7.2.2. Re-integrating ABC results. -ABC RESULTS: _dff_ cells: 3 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: NOR cells: 2 -ABC RESULTS: NAND cells: 5 -ABC RESULTS: BUF cells: 3 -ABC RESULTS: internal signals: 8 -ABC RESULTS: input signals: 3 -ABC RESULTS: output signals: 1 -Removing temp directory. -Removed 0 unused cells and 9 unused wires. - -8. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `abc_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' - -End of script. Logfile hash: d3a3427a91, CPU: user 0.10s system 0.01s, MEM: 8.98 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 75% 1x show (0 sec), 14% 1x abc (0 sec), ... -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExSyn' -+ make -C PRESENTATION_ExAdv -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExAdv' -../../yosys select.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `select.ys' -- - -1. Executing Verilog-2005 frontend: select.v -Parsing Verilog input from `select.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 2 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$select.v:7$1'. - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$9' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$select.v:7$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. - -Removed a total of 1 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 7 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.16. Finished OPT passes. (There is nothing left to do.) - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `select.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' - -End of script. Logfile hash: 75f2ae3a3a, CPU: user 0.06s system 0.01s, MEM: 7.31 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 92% 1x show (0 sec), 2% 4x opt_expr (0 sec), ... -../../yosys red_or3x1_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `red_or3x1_test.ys' -- - -1. Executing Verilog-2005 frontend: red_or3x1_test.v -Parsing Verilog input from `red_or3x1_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: red_or3x1_map.v -Parsing Verilog input from `red_or3x1_map.v' to AST representation. -Generating RTLIL representation for module `\$reduce_or'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. -Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. -Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. -No more expansions possible. - -Removed 0 unused cells and 18 unused wires. - -4. Executing SPLITNETS pass (splitting up multi-bit signals). - -5. Generating Graphviz representation of design. - -5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v -Parsing Verilog input from `red_or3x1_cells.v' to AST representation. -Generating RTLIL representation for module `\OR3X1'. -Successfully finished Verilog frontend. - -5.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `red_or3x1.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' - -End of script. Logfile hash: 129023a082, CPU: user 0.02s system 0.00s, MEM: 7.35 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 97% 1x show (0 sec), 0% 1x techmap (0 sec), ... -../../yosys sym_mul_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `sym_mul_test.ys' -- - -1. Executing Verilog-2005 frontend: sym_mul_test.v -Parsing Verilog input from `sym_mul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -No more expansions possible. - -Removed 0 unused cells and 6 unused wires. - -4. Generating Graphviz representation of design. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `sym_mul.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' - -End of script. Logfile hash: 772afb568b, CPU: user 0.02s system 0.00s, MEM: 7.23 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys mymul_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `mymul_test.ys' -- - -1. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mymul_map.v -Parsing Verilog input from `mymul_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. -Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. -No more expansions possible. - -Removed 0 unused cells and 10 unused wires. -Renaming module \test to \test_mapped. - -4. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -5. Executing MITER pass (creating miter circuit). -Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". - -6. Executing FLATTEN pass (flatten design). - - -7. Executing SAT pass (solving SAT problems in the circuit). - -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 7 cells to SAT database. -Import proof-constraint: \trigger = 1'0 -Final proof equation: \trigger = 1'0 - -Solving problem with 107 variables and 283 clauses.. -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ - -8. Executing SPLITNETS pass (splitting up multi-bit signals). - -9. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `mymul.dot'. -Dumping module test_mapped to page 1. -Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' - -End of script. Logfile hash: b32a1d8e8e, CPU: user 0.05s system 0.00s, MEM: 7.94 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 94% 1x show (0 sec), 1% 2x clean (0 sec), ... -../../yosys mulshift_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `mulshift_test.ys' -- - -1. Executing Verilog-2005 frontend: mulshift_test.v -Parsing Verilog input from `mulshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mulshift_map.v -Parsing Verilog input from `mulshift_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. -No more expansions possible. - -Removed 0 unused cells and 16 unused wires. - -4. Generating Graphviz representation of design. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `mulshift.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' - -End of script. Logfile hash: e867b57f97, CPU: user 0.05s system 0.01s, MEM: 7.86 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 92% 1x show (0 sec), 2% 3x clean (0 sec), ... -../../yosys addshift_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `addshift_test.ys' -- - -1. Executing Verilog-2005 frontend: addshift_test.v -Parsing Verilog input from `addshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: addshift_map.v -Parsing Verilog input from `addshift_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. -No more expansions possible. - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `addshift.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' - -End of script. Logfile hash: d1e94967df, CPU: user 0.02s system 0.00s, MEM: 7.26 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys macc_simple_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `macc_simple_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_simple_test.v -Parsing Verilog input from `macc_simple_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -3. Generating Graphviz representation of design. - -3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -3.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_00a.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf' - -4. Executing EXTRACT pass (map subcircuits to cells). - -4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -4.2. Executing PROC pass (convert processes to netlists). - -4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.2.4. Executing PROC_INIT pass (extract init attributes). - -4.2.5. Executing PROC_ARST pass (detect async resets in processes). - -4.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. - -4.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - - -4.4. Creating graphs for SubCircuit library. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -4.5. Running solver from SubCircuit library. -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. - -4.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$8 -Removed 0 unused cells and 1 unused wires. - -5. Generating Graphviz representation of design. - -5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -5.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_00b.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf' - -6. Executing Verilog-2005 frontend: macc_simple_test_01.v -Parsing Verilog input from `macc_simple_test_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -7. Executing HIERARCHY pass (managing design hierarchy). - -7.1. Analyzing design hierarchy.. -Top module: \test - -7.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -8. Generating Graphviz representation of design. - -8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -8.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_01a.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf' - -9. Executing EXTRACT pass (map subcircuits to cells). - -9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -9.2. Executing PROC pass (convert processes to netlists). - -9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -9.2.4. Executing PROC_INIT pass (extract init attributes). - -9.2.5. Executing PROC_ARST pass (detect async resets in processes). - -9.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -9.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. - -9.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - - -9.4. Creating graphs for SubCircuit library. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -9.5. Running solver from SubCircuit library. -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. - -9.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$19 -Removed 0 unused cells and 1 unused wires. - -10. Generating Graphviz representation of design. - -10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -10.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_01b.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf' - -11. Executing Verilog-2005 frontend: macc_simple_test_02.v -Parsing Verilog input from `macc_simple_test_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -12. Executing HIERARCHY pass (managing design hierarchy). - -12.1. Analyzing design hierarchy.. -Top module: \test - -12.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -13. Generating Graphviz representation of design. - -13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -13.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_02a.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf' - -14. Executing EXTRACT pass (map subcircuits to cells). - -14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -14.2. Executing PROC pass (convert processes to netlists). - -14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -14.2.4. Executing PROC_INIT pass (extract init attributes). - -14.2.5. Executing PROC_ARST pass (detect async resets in processes). - -14.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -14.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. - -14.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - - -14.4. Creating graphs for SubCircuit library. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -14.5. Running solver from SubCircuit library. -Solving for needle_macc_16_16_32 in haystack_test. -Found 2 matches. - -14.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$30 - -Match #1: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$31 -Removed 0 unused cells and 2 unused wires. - -15. Generating Graphviz representation of design. - -15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -15.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_02b.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf' - -16. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -17. Executing HIERARCHY pass (managing design hierarchy). - -17.1. Analyzing design hierarchy.. -Top module: \macc_16_16_32 - -17.2. Analyzing design hierarchy.. -Top module: \macc_16_16_32 -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -18. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_xmap.dot'. -Dumping module macc_16_16_32 to page 1. -Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' - -End of script. Logfile hash: 4903010725, CPU: user 0.10s system 0.02s, MEM: 7.42 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 7x show (4 sec), 0% 7x clean (0 sec), ... -../../yosys macc_xilinx_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `macc_xilinx_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_xilinx_test.v -Parsing Verilog input from `macc_xilinx_test.v' to AST representation. -Generating RTLIL representation for module `\test1'. -Generating RTLIL representation for module `\test2'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `$__mul_wrapper'. -Generating RTLIL representation for module `$__add_wrapper'. -Successfully finished Verilog frontend. - -3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -4. Executing HIERARCHY pass (managing design hierarchy). -Removed 0 unused cells and 2 unused wires. - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1a.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf' - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2a.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf' - -7. Executing TECHMAP pass (map to technology primitives). - -7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. - -7.2. Continuing TECHMAP pass. -Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. -No more expansions possible. - -Removed 0 unused cells and 8 unused wires. - -8. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1b.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf' - -9. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2b.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf' - -10. Executing TECHMAP pass (map to technology primitives). - -10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. - -10.2. Continuing TECHMAP pass. -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. -Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. -No more expansions possible. - - -11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } -Removed 0 unused cells and 56 unused wires. - -12. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1c.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf' - -13. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2c.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf' - -14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -15. Executing TECHMAP pass (map to technology primitives). - -15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. - -15.2. Continuing TECHMAP pass. -No more expansions possible. - - -16. Executing TECHMAP pass (map to technology primitives). - -16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. - -16.2. Continuing TECHMAP pass. -Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. -Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. -No more expansions possible. - -Removed 0 unused cells and 17 unused wires. - -17. Executing EXTRACT pass (map subcircuits to cells). - -17.1. Creating graphs for SubCircuit library. -Creating needle graph needle_DSP48_MACC. -Creating haystack graph haystack_$__add_wrapper. -Creating haystack graph haystack_$__mul_wrapper. -Creating haystack graph haystack_DSP48_MACC. -Creating haystack graph haystack_test1. -Creating haystack graph haystack_test2. - -17.2. Running solver from SubCircuit library. -Solving for needle_DSP48_MACC in haystack_$__add_wrapper. -Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. -Solving for needle_DSP48_MACC in haystack_DSP48_MACC. -Solving for needle_DSP48_MACC in haystack_test1. -Solving for needle_DSP48_MACC in haystack_test2. -Found 3 matches. - -17.3. Substitute SubCircuits with cells. - -Match #0: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$35 - -Match #1: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$36 - -Match #2: (needle_DSP48_MACC in haystack_test2) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$37 -Removed 0 unused cells and 6 unused wires. - -18. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1d.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf' - -19. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2d.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf' - -20. Executing TECHMAP pass (map to technology primitives). - -20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `\$__mul_wrapper'. -Generating RTLIL representation for module `\$__add_wrapper'. -Successfully finished Verilog frontend. - -20.2. Continuing TECHMAP pass. -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. -No more expansions possible. - -Removed 0 unused cells and 14 unused wires. - -21. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1e.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf' - -22. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2e.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf' - -23. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_xmap.dot'. -Dumping module DSP48_MACC to page 1. -Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' - -End of script. Logfile hash: ff7d5c04b4, CPU: user 0.29s system 0.01s, MEM: 8.38 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 96% 11x show (6 sec), 1% 12x clean (0 sec), ... -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExAdv' -+ make -C PRESENTATION_ExOth -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExOth' -../../yosys scrambler.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `scrambler.ys' -- - -1. Executing Verilog-2005 frontend: scrambler.v -Parsing Verilog input from `scrambler.v' to AST representation. -Generating RTLIL representation for module `\scrambler'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 2 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. - 1/1: $1\xs[31:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$12' with positive edge clock. -Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$13' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. -Removing empty process `scrambler.$proc$scrambler.v:6$1'. -Cleaned up 1 empty switch. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module scrambler. - -Removed 0 unused cells and 5 unused wires. - -4. Executing SUBMOD pass (moving cells to submodules as requested). - -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \scrambler.. - -4.2. Continuing SUBMOD pass. -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $0\xs[31:0]: output \n1 - signal $1\xs[31:0]: input \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. -Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf' - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. -Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf' -echo on - -yosys> cd xorshift32 - -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. - -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. - -yosys [xorshift32]> eval -set in 1 -show out - -7. Executing EVAL pass (evaluate the circuit given an input). -Eval result: \out = 270369. - -yosys [xorshift32]> eval -set in 270369 -show out - -8. Executing EVAL pass (evaluate the circuit given an input). -Eval result: \out = 67634689. - -yosys [xorshift32]> sat -set out 632435482 - -9. Executing SAT pass (solving SAT problems in the circuit). - -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. - -Solving problem with 665 variables and 1735 clauses.. -SAT solving finished - model found: - - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \in 745495504 2c6f5bd0 00101100011011110101101111010000 - \out 632435482 25b2331a 00100101101100100011001100011010 - -End of script. Logfile hash: 8c41aa1775, CPU: user 0.06s system 0.02s, MEM: 7.83 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 96% 2x show (1 sec), 1% 1x sat (0 sec), ... -../../yosys -l equiv.log_new equiv.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `equiv.ys' -- - -1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v -Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Renaming module \test to \test_mapped. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v -Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. -No more expansions possible. - - -4. Executing MITER pass (creating miter circuit). -Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped". - -5. Executing FLATTEN pass (flatten design). - - -6. Executing SAT pass (solving SAT problems in the circuit). - -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 7 cells to SAT database. -Import proof for assert: $auto$miter.cc:242:create_miter_equiv$6 when 1'1. -Import show expression: \in_b -Import show expression: \in_a -Import show expression: \trigger -Import show expression: \gate_y -Import show expression: \gold_y - -Solving problem with 945 variables and 2505 clauses.. -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ - -End of script. Logfile hash: 5b80c95f52, CPU: user 0.05s system 0.00s, MEM: 8.05 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 76% 1x sat (0 sec), 14% 1x techmap (0 sec), ... -mv equiv.log_new equiv.log -../../yosys -l axis_test.log_new axis_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `axis_test.ys' -- - -1. Executing Verilog-2005 frontend: axis_master.v -Parsing SystemVerilog input from `axis_master.v' to AST representation. -Generating RTLIL representation for module `\axis_master'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: axis_test.v -Parsing SystemVerilog input from `axis_test.v' to AST representation. -Generating RTLIL representation for module `\axis_test'. -Successfully finished Verilog frontend. - -3. Executing HIERARCHY pass (managing design hierarchy). - -3.1. Analyzing design hierarchy.. -Top module: \axis_test -Used module: \axis_master - -3.2. Analyzing design hierarchy.. -Top module: \axis_test -Used module: \axis_master -Removed 0 unused modules. -Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute. -Mapping positional arguments of cell axis_test.uut (axis_master). - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). -Found init rule in `\axis_test.$proc$axis_test.v:0$98'. - Set init value: $formal$axis_test.v:22$23_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$96'. - Set init value: $formal$axis_test.v:21$22_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$94'. - Set init value: $formal$axis_test.v:20$21_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$92'. - Set init value: $formal$axis_test.v:19$20_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$90'. - Set init value: $formal$axis_test.v:18$19_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$88'. - Set init value: $formal$axis_test.v:17$18_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$86'. - Set init value: $formal$axis_test.v:16$17_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$84'. - Set init value: $formal$axis_test.v:15$16_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$82'. - Set init value: $formal$axis_test.v:14$15_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$80'. - Set init value: $formal$axis_test.v:13$14_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:7$79'. - Set init value: \aresetn = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:6$78'. - Set init value: \counter = 0 - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\axis_test.$proc$axis_test.v:0$98'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$96'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$94'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$92'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$90'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$88'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$86'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$84'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$82'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$80'. -Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'. -Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'. -Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'. - 1/21: $0$formal$axis_test.v:13$14_EN[0:0]$26 - 2/21: $0$formal$axis_test.v:13$14_CHECK[0:0]$25 - 3/21: $0$formal$axis_test.v:14$15_EN[0:0]$28 - 4/21: $0$formal$axis_test.v:14$15_CHECK[0:0]$27 - 5/21: $0$formal$axis_test.v:15$16_EN[0:0]$30 - 6/21: $0$formal$axis_test.v:15$16_CHECK[0:0]$29 - 7/21: $0$formal$axis_test.v:16$17_EN[0:0]$32 - 8/21: $0$formal$axis_test.v:16$17_CHECK[0:0]$31 - 9/21: $0$formal$axis_test.v:17$18_EN[0:0]$34 - 10/21: $0$formal$axis_test.v:17$18_CHECK[0:0]$33 - 11/21: $0$formal$axis_test.v:18$19_EN[0:0]$36 - 12/21: $0$formal$axis_test.v:18$19_CHECK[0:0]$35 - 13/21: $0$formal$axis_test.v:19$20_EN[0:0]$38 - 14/21: $0$formal$axis_test.v:19$20_CHECK[0:0]$37 - 15/21: $0$formal$axis_test.v:20$21_EN[0:0]$40 - 16/21: $0$formal$axis_test.v:20$21_CHECK[0:0]$39 - 17/21: $0$formal$axis_test.v:21$22_EN[0:0]$42 - 18/21: $0$formal$axis_test.v:21$22_CHECK[0:0]$41 - 19/21: $0$formal$axis_test.v:22$23_EN[0:0]$44 - 20/21: $0$formal$axis_test.v:22$23_CHECK[0:0]$43 - 21/21: $0\counter[31:0] -Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'. - 1/4: $2\state[31:0] - 2/4: $1\state[31:0] - 3/4: $0\tdata[7:0] - 4/4: $0\tvalid[0:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$209' with positive edge clock. -Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$210' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$211' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$212' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$213' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$214' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$215' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$216' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$217' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$218' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$219' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$220' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$221' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$222' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$223' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$224' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$225' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$226' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$227' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$228' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$229' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$230' with positive edge clock. -Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'. - created $dff cell `$procdff$231' with positive edge clock. -Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'. - created $dff cell `$procdff$232' with positive edge clock. -Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'. - created $dff cell `$procdff$233' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `axis_test.$proc$axis_test.v:0$98'. -Removing empty process `axis_test.$proc$axis_test.v:0$96'. -Removing empty process `axis_test.$proc$axis_test.v:0$94'. -Removing empty process `axis_test.$proc$axis_test.v:0$92'. -Removing empty process `axis_test.$proc$axis_test.v:0$90'. -Removing empty process `axis_test.$proc$axis_test.v:0$88'. -Removing empty process `axis_test.$proc$axis_test.v:0$86'. -Removing empty process `axis_test.$proc$axis_test.v:0$84'. -Removing empty process `axis_test.$proc$axis_test.v:0$82'. -Removing empty process `axis_test.$proc$axis_test.v:0$80'. -Removing empty process `axis_test.$proc$axis_test.v:7$79'. -Removing empty process `axis_test.$proc$axis_test.v:6$78'. -Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'. -Removing empty process `axis_test.$proc$axis_test.v:11$24'. -Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'. -Removing empty process `axis_master.$proc$axis_master.v:7$1'. -Cleaned up 15 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module axis_test. - -Optimizing module axis_master. - - -5. Executing FLATTEN pass (flatten design). -Deleting now unused module axis_master. - -Removed 1 unused cells and 92 unused wires. - -6. Executing SAT pass (solving SAT problems in the circuit). - -Setting up time step 1: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0 -Import set-constraint from init attribute: \aresetn = 1'0 -Import set-constraint from init attribute: \counter = 0 -Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000 -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 2: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 3: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 4: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 5: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 6: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 7: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 8: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 9: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 10: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 11: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 12: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 13: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 14: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 15: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 16: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 17: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 18: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 19: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 20: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 21: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 22: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 23: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 24: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 25: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 26: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 27: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 28: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 29: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 30: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 31: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 32: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 33: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 34: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 35: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 36: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 37: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 38: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 39: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 40: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 41: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 42: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 43: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 44: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 45: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 46: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 47: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 48: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 49: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 50: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Solving problem with 135995 variables and 381779 clauses.. -SAT proof finished - model found: FAIL! - - ______ ___ ___ _ _ _ _ - (_____ \ / __) / __) (_) | | | | - _____) )___ ___ ___ _| |__ _| |__ _____ _| | _____ __| | | - | ____/ ___) _ \ / _ (_ __) (_ __|____ | | || ___ |/ _ |_| - | | | | | |_| | |_| || | | | / ___ | | || ____( (_| |_ - |_| |_| \___/ \___/ |_| |_| \_____|_|\_)_____)\____|_| - - - Time Signal Name Dec Hex Bin - ---- ------------------------------------ ----------- --------- ----------------------------------- - init $formal$axis_test.v:13$14_CHECK 0 0 0 - init $formal$axis_test.v:13$14_EN 0 0 0 - init $formal$axis_test.v:14$15_CHECK 0 0 0 - init $formal$axis_test.v:14$15_EN 0 0 0 - init $formal$axis_test.v:15$16_CHECK 0 0 0 - init $formal$axis_test.v:15$16_EN 0 0 0 - init $formal$axis_test.v:16$17_CHECK 0 0 0 - init $formal$axis_test.v:16$17_EN 0 0 0 - init $formal$axis_test.v:17$18_CHECK 0 0 0 - init $formal$axis_test.v:17$18_EN 0 0 0 - init $formal$axis_test.v:18$19_CHECK 0 0 0 - init $formal$axis_test.v:18$19_EN 0 0 0 - init $formal$axis_test.v:19$20_CHECK 0 0 0 - init $formal$axis_test.v:19$20_EN 0 0 0 - init $formal$axis_test.v:20$21_CHECK 0 0 0 - init $formal$axis_test.v:20$21_EN 0 0 0 - init $formal$axis_test.v:21$22_CHECK 0 0 0 - init $formal$axis_test.v:21$22_EN 0 0 0 - init $formal$axis_test.v:22$23_CHECK 0 0 0 - init $formal$axis_test.v:22$23_EN 0 0 0 - init \aresetn 0 0 0 - init \counter 0 0 00000000000000000000000000000000 - init \uut.state 0 0 00000000000000000000000000000000 - init \uut.tdata 64 40 01000000 - init \uut.tvalid 1 1 1 - -End of script. Logfile hash: 47aa44b032, CPU: user 3.92s system 0.22s, MEM: 84.28 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x sat (4 sec), 0% 3x read_verilog (0 sec), ... -mv axis_test.log_new axis_test.log -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExOth' -+ make -C PRESENTATION_Prog -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Prog' -../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -Wall -Wextra -ggdb -I../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs -../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v - --- Parsing `absval_ref.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: absval_ref.v -Parsing Verilog input from `absval_ref.v' to AST representation. -Storing AST representation for module `$abstract\absval_ref'. -Successfully finished Verilog frontend. - --- Running command `my_cmd foo bar' -- -Arguments to my_cmd: - my_cmd - foo - bar -Modules in current design: - $abstract\absval_ref (0 wires, 0 cells) - -End of script. Logfile hash: a25069ff9d, CPU: user 0.00s system 0.01s, MEM: 5.87 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 94% 2x read_verilog (0 sec), 3% 1x read (0 sec), ... -mv test0.log_new test0.log -../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v - --- Parsing `absval_ref.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: absval_ref.v -Parsing Verilog input from `absval_ref.v' to AST representation. -Storing AST representation for module `$abstract\absval_ref'. -Successfully finished Verilog frontend. - --- Running command `clean; test1; dump' -- -Name of this module: absval - -autoidx 4 - -module \absval - - wire width 4 $auto$my_cmd.cc:41:execute$1 - - wire width 4 output 2 \y - - wire width 4 input 1 \a - - cell $mux $auto$my_cmd.cc:43:execute$3 - parameter \WIDTH 4 - connect \Y \y - connect \S \a [3] - connect \B $auto$my_cmd.cc:41:execute$1 - connect \A \a - end - - cell $neg $auto$my_cmd.cc:42:execute$2 - parameter \Y_WIDTH 4 - parameter \A_WIDTH 4 - parameter \A_SIGNED 1 - connect \Y $auto$my_cmd.cc:41:execute$1 - connect \A \a - end -end - -attribute \cells_not_processed 1 -attribute \src "absval_ref.v:1.1-3.10" -module $abstract\absval_ref -end - -End of script. Logfile hash: 01cda1039c, CPU: user 0.01s system 0.00s, MEM: 5.90 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 76% 1x clean (0 sec), 16% 2x read_verilog (0 sec), ... -mv test1.log_new test1.log -../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' sigmap_test.v - --- Parsing `sigmap_test.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: sigmap_test.v -Parsing Verilog input from `sigmap_test.v' to AST representation. -Storing AST representation for module `$abstract\test'. -Successfully finished Verilog frontend. - --- Running command `hierarchy -top test; test2' -- - -2. Executing HIERARCHY pass (managing design hierarchy). - -3. Executing AST frontend in derive mode using pre-parsed AST for module `\test'. -Generating RTLIL representation for module `\test'. - -3.1. Analyzing design hierarchy.. -Top module: \test - -3.2. Analyzing design hierarchy.. -Top module: \test -Removing unused module `$abstract\test'. -Removed 1 unused modules. -0 0 0 -1 1 1 -Mapped signal x: \a - -4. Doing important stuff! -Log message #0. -Log message #1. -Log message #2. -Log message #3. -Log message #4. -Log message #5. -Log message #6. -Log message #7. -Log message #8. -Log message #9. - -End of script. Logfile hash: 8350de3c5a, CPU: user 0.01s system 0.00s, MEM: 5.62 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 54% 2x read_verilog (0 sec), 35% 1x hierarchy (0 sec), ... -mv test2.log_new test2.log -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Prog' -+ set -ex -+ pdflatex -shell-escape -halt-on-error presentation.tex -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - \write18 enabled. -entering extended mode -(./presentation.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls -Document Class: beamer 2024/01/06 v3.71 A class for typesetting presentations -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) - -Package hyperref Message: Stopped early. - -) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigationsymbols.tex -)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) -(/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty -`inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) -(/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/units.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryarrows.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryscopes.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarythrough.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file presentation.aux. -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary --English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic -tionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict -ionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar -y-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona -ry-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona -ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -No file presentation.nav. - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 99--99 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 103--103 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en -c/dvips/lm/lm-ec.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 123--123 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[2] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[3] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 154--154 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[4] (./PRESENTATION_Intro.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[5] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 105--105 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[6] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[7] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[8] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[9] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[10] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[11] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[12] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 146--146 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[13] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/ot1lmss.fd) -(/usr/share/texmf/tex/latex/lm/omllmm.fd) -(/usr/share/texmf/tex/latex/lm/omslmsy.fd) -(/usr/share/texmf/tex/latex/lm/omxlmex.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[14{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 215--215 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[15] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 248--248 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[16] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 264--264 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[17] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 368--368 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[18] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[19] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[20] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[21] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[22] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[23] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[24] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[25] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[26] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[27] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[28] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[29] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[30] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[31] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 372--372 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 374--374 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) -(./PRESENTATION_Intro/counter.v -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd))) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[32{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 376--376 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_Intro/mycells.lib) -(./PRESENTATION_Intro/mycells.lib)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[33] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 389--389 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 397--397 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_00.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[34 <./PRESENTATION_Intro/counter_00.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 406--406 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[35 <./PRESENTATION_Intro/counter_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 408--408 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 415--415 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_02.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[36 <./PRESENTATION_Intro/counter_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 417--417 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_03.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[37 <./PRESENTATION_Intro/counter_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 432--432 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 468--468 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11 -[][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[38] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 474--474 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 500--500 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[39] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 502--502 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 529--529 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[40] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 531--531 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 559--559 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[41] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 565--565 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 586--586 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[42] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 588--588 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[43] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 641--641 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (1.47926pt too high) detected at line 641 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[44] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 654--654 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[45] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 674--674 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[46{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 676--676 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 696--696 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[47] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 715--715 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[48] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[49] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 749--749 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[50] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 766--766 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[51] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 782--782 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[52] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[53] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 823--823 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[54] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 841--841 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[55] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 862--862 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[56] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 884--884 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[57] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 907--907 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[58] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 930--930 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[59] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 955--955 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[60]) (./PRESENTATION_ExSyn.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[61] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 27--27 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[62] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 33--33 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[63] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 56--56 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 72--72 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[64] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 78--78 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 104--104 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[65] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 106--106 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 114--114 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v -Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2 -[][][][][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/proc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_01.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[66 <./PRESENTATION_ExSyn/proc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 116--116 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 125--125 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_02.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[67 <./PRESENTATION_ExSyn/proc_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 127--127 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 136--136 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_03.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[68 <./PRESENTATION_ExSyn/proc_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 142--142 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 166--166 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[69] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[70 <./PRESENTATION_ExSyn/opt_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 188--188 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_02.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[71 <./PRESENTATION_ExSyn/opt_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 190--190 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 199--199 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_03.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[72 <./PRESENTATION_ExSyn/opt_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 210--210 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_04.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_04.v -Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/opt_04.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[73 <./PRESENTATION_ExSyn/opt_04.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 236--236 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[74] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 242--242 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 268--268 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.12932pt too high) detected at line 268 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[75] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 270--270 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 279--279 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_01.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[76 <./PRESENTATION_ExSyn/memory_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 281--281 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 290--290 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_02.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys)) -Overfull \vbox (4.767pt too high) detected at line 290 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[77 <./PRESENTATION_ExSyn/memory_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 296--296 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 320--320 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[78] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[79] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 362--362 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/techmap_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 362 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 362 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[80 <./PRESENTATION_ExSyn/techmap_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[81] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 409--409 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[82] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 411--411 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 419--419 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v) -(./PRESENTATION_ExSyn/abc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/abc_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[83 <./PRESENTATION_ExSyn/abc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 442--442 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[84] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 448--448 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 489--489 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7 -[][][][][][][][][][][][][][][] - -Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29 -[][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31 -[][][][][][][][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[85] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 514--514 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[86]) (./PRESENTATION_ExAdv.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[87] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 16--16 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[88] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 25--25 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[89] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 29--29 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[90] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 54--54 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[91] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 69--69 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 92--92 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[92] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 96--96 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 111--111 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[93] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8 -[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ -][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[94] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 143--143 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 167--167 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[95] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 171--171 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[96] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 221--221 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[97] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 223--223 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 231--231 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/select.v) -(./PRESENTATION_ExAdv/select.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/select.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[98 <./PRESENTATION_ExAdv/select.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[99] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[100] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[101] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/red_or3x1_test.ys) -Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285 -[][][][][][][][][][][] -(./PRESENTATION_ExAdv/red_or3x1_test.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[103] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) -(./PRESENTATION_ExAdv/sym_mul_test.ys) -Overfull \vbox (2.16698pt too high) detected at line 321 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[104 <./PRESENTATION_ExAdv/sym_mul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[105] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion: -found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mymul_map.v -Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8 -[][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys) -(./PRESENTATION_ExAdv/mymul_test.ys) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[106 <./PRESENTATION_ExAdv/mymul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[107] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mulshift_map.v -Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21 -[][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[108 <./PRESENTATION_ExAdv/mulshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[109] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/addshift_map.v -Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19 -[][][][][][][][][] -) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[110 <./PRESENTATION_ExAdv/addshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[111] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[112] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[113] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[114] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/macc_simple_test.v) -(./PRESENTATION_ExAdv/macc_simple_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_00b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v) -Underfull \hbox (badness 10000) detected at line 3 -[]| -(./PRESENTATION_ExAdv/macc_simple_test_02.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_02a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[117] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[118] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v -Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21 -[][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \vbox (1.21974pt too high) detected at line 610 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[119] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) -Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6 -[][][][][][][][][][][][][][][][][] -(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[120] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[121] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[122] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[123] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| -(./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 5 -[]| - -Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11 -[][][][][][][][] - -Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12 -[][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 809--809 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[131] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 827--827 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[132] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 831--831 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 872--872 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[133] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 895--895 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[134]) (./PRESENTATION_ExOth.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[135] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 14--14 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[136] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 23--23 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[137] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 43--43 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[138] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 45--45 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/scrambler.v) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p01.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \vbox (12.54794pt too high) detected at line 65 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p -02.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 67--67 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 89--89 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[140] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 98--98 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[141] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[142] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 131--131 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 131 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 131 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[143] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 133--133 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 159--159 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.61974pt too high) detected at line 159 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[144] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 161--161 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/axis_master.v) -(./PRESENTATION_ExOth/axis_test.v)) -Overfull \vbox (5.41972pt too high) detected at line 177 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[145] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[146] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 226--226 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[147]) (./PRESENTATION_Prog.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[148] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 39--39 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[149] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 68--68 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[150] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 74--74 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[151] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 118--118 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[152] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 144--144 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[153] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[154] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[155] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 218--218 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[156] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 222--222 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 251--251 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[157] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 255--255 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 280--280 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[158] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 284--284 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 316--316 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[159] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 318--318 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 338--338 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[160] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 364--364 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[161] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 370--370 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.21974pt too high) detected at line 399 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[162] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 420--420 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[163] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 454--454 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[164] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 460--460 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 485--485 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[165] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 491--491 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 510--510 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[166] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 516--516 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 540--540 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[167] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 546--546 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 568--568 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[168] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 595--595 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux -) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) -(./PRESENTATION_Prog.aux)) - -Package rerunfilecheck Warning: File `presentation.out' has changed. -(rerunfilecheck) Rerun to get outlines right -(rerunfilecheck) or use package `bookmark'. - - ) -(see the transcript file for additional information) -Output written on presentation.pdf (169 pages, 888271 bytes). -Transcript written on presentation.log. -+ false -+ md5sum PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc -+ cmp autoloop.old autoloop.new -cmp: EOF on autoloop.old which is empty -+ cp autoloop.new autoloop.old -+ pdflatex -shell-escape -halt-on-error presentation.tex -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - \write18 enabled. -entering extended mode -(./presentation.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls -Document Class: beamer 2024/01/06 v3.71 A class for typesetting presentations -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) - -Package hyperref Message: Stopped early. - -) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigationsymbols.tex -)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) -(/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty -`inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) -(/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/units.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryarrows.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryscopes.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarythrough.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) -(./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux) -) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(./presentation.out) (./presentation.out) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary --English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic -tionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict -ionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar -y-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona -ry-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona -ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(./presentation.nav) -Overfull \hbox (5.77223pt too wide) in paragraph at lines 99--99 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 103--103 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en -c/dvips/lm/lm-ec.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 123--123 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[2] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[3] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 154--154 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[4] (./PRESENTATION_Intro.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[5] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 105--105 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[6] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[7] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[8] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[9] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[10] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[11] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[12] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 146--146 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[13] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/ot1lmss.fd) -(/usr/share/texmf/tex/latex/lm/omllmm.fd) -(/usr/share/texmf/tex/latex/lm/omslmsy.fd) -(/usr/share/texmf/tex/latex/lm/omxlmex.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[14{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 215--215 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[15] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 248--248 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[16] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 264--264 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[17] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 368--368 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[18] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[19] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[20] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[21] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[22] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[23] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[24] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[25] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[26] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[27] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[28] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[29] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[30] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[31] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 372--372 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 374--374 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) -(./PRESENTATION_Intro/counter.v -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd))) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[32{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 376--376 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_Intro/mycells.lib) -(./PRESENTATION_Intro/mycells.lib)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[33] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 389--389 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 397--397 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_00.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[34 <./PRESENTATION_Intro/counter_00.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 406--406 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[35 <./PRESENTATION_Intro/counter_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 408--408 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 415--415 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_02.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[36 <./PRESENTATION_Intro/counter_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 417--417 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_03.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[37 <./PRESENTATION_Intro/counter_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 432--432 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 468--468 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11 -[][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[38] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 474--474 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 500--500 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[39] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 502--502 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 529--529 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[40] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 531--531 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 559--559 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[41] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 565--565 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 586--586 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[42] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 588--588 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[43] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 641--641 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (1.47926pt too high) detected at line 641 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[44] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 654--654 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[45] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 674--674 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[46{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 676--676 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 696--696 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[47] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 715--715 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[48] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[49] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 749--749 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[50] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 766--766 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[51] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 782--782 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[52] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[53] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 823--823 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[54] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 841--841 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[55] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 862--862 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[56] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 884--884 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[57] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 907--907 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[58] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 930--930 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[59] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 955--955 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[60]) (./PRESENTATION_ExSyn.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[61] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 27--27 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[62] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 33--33 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[63] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 56--56 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 72--72 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[64] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 78--78 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 104--104 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[65] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 106--106 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 114--114 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v -Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2 -[][][][][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/proc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_01.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[66 <./PRESENTATION_ExSyn/proc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 116--116 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 125--125 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_02.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[67 <./PRESENTATION_ExSyn/proc_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 127--127 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 136--136 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_03.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[68 <./PRESENTATION_ExSyn/proc_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 142--142 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 166--166 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[69] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[70 <./PRESENTATION_ExSyn/opt_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 188--188 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_02.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[71 <./PRESENTATION_ExSyn/opt_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 190--190 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 199--199 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_03.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[72 <./PRESENTATION_ExSyn/opt_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 210--210 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_04.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_04.v -Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/opt_04.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[73 <./PRESENTATION_ExSyn/opt_04.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 236--236 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[74] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 242--242 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 268--268 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.12932pt too high) detected at line 268 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[75] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 270--270 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 279--279 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_01.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[76 <./PRESENTATION_ExSyn/memory_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 281--281 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 290--290 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_02.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys)) -Overfull \vbox (4.767pt too high) detected at line 290 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[77 <./PRESENTATION_ExSyn/memory_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 296--296 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 320--320 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[78] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[79] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 362--362 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/techmap_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 362 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 362 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[80 <./PRESENTATION_ExSyn/techmap_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[81] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 409--409 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[82] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 411--411 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 419--419 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v) -(./PRESENTATION_ExSyn/abc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/abc_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[83 <./PRESENTATION_ExSyn/abc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 442--442 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[84] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 448--448 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 489--489 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7 -[][][][][][][][][][][][][][][] - -Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29 -[][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31 -[][][][][][][][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[85] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 514--514 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[86]) (./PRESENTATION_ExAdv.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[87] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 16--16 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[88] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 25--25 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[89] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 29--29 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[90] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 54--54 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[91] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 69--69 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 92--92 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[92] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 96--96 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 111--111 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[93] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8 -[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ -][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[94] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 143--143 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 167--167 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[95] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 171--171 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[96] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 221--221 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[97] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 223--223 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 231--231 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/select.v) -(./PRESENTATION_ExAdv/select.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/select.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[98 <./PRESENTATION_ExAdv/select.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[99] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[100] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[101] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/red_or3x1_test.ys) -Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285 -[][][][][][][][][][][] -(./PRESENTATION_ExAdv/red_or3x1_test.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[103] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) -(./PRESENTATION_ExAdv/sym_mul_test.ys) -Overfull \vbox (2.16698pt too high) detected at line 321 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[104 <./PRESENTATION_ExAdv/sym_mul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[105] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion: -found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mymul_map.v -Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8 -[][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys) -(./PRESENTATION_ExAdv/mymul_test.ys) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[106 <./PRESENTATION_ExAdv/mymul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[107] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mulshift_map.v -Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21 -[][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[108 <./PRESENTATION_ExAdv/mulshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[109] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/addshift_map.v -Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19 -[][][][][][][][][] -) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[110 <./PRESENTATION_ExAdv/addshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[111] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[112] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[113] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[114] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/macc_simple_test.v) -(./PRESENTATION_ExAdv/macc_simple_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_00b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v) -Underfull \hbox (badness 10000) detected at line 3 -[]| -(./PRESENTATION_ExAdv/macc_simple_test_02.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_02a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[117] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[118] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v -Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21 -[][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \vbox (1.21974pt too high) detected at line 610 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[119] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) -Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6 -[][][][][][][][][][][][][][][][][] -(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[120] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[121] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[122] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[123] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| -(./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 5 -[]| - -Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11 -[][][][][][][][] - -Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12 -[][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 809--809 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[131] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 827--827 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[132] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 831--831 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 872--872 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[133] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 895--895 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[134]) (./PRESENTATION_ExOth.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[135] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 14--14 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[136] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 23--23 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[137] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 43--43 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[138] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 45--45 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/scrambler.v) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p01.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \vbox (12.54794pt too high) detected at line 65 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p -02.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 67--67 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 89--89 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[140] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 98--98 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[141] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[142] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 131--131 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 131 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 131 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[143] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 133--133 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 159--159 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.61974pt too high) detected at line 159 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[144] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 161--161 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/axis_master.v) -(./PRESENTATION_ExOth/axis_test.v)) -Overfull \vbox (5.41972pt too high) detected at line 177 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[145] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[146] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 226--226 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[147]) (./PRESENTATION_Prog.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[148] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 39--39 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[149] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 68--68 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[150] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 74--74 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[151] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 118--118 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[152] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 144--144 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[153] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[154] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[155] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 218--218 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[156] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 222--222 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 251--251 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[157] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 255--255 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 280--280 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[158] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 284--284 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 316--316 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[159] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 318--318 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 338--338 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[160] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 364--364 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[161] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 370--370 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.21974pt too high) detected at line 399 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[162] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 420--420 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[163] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 454--454 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[164] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 460--460 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 485--485 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[165] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 491--491 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 510--510 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[166] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 516--516 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 540--540 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[167] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 546--546 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 568--568 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[168] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 595--595 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux -) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) -(./PRESENTATION_Prog.aux)) ) -(see the transcript file for additional information) -Output written on presentation.pdf (169 pages, 895257 bytes). -Transcript written on presentation.log. -+ md5sum PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc -+ cmp autoloop.old autoloop.new -+ rm -f autoloop.old -+ rm -f autoloop.new +dh_auto_build: error: make -j4 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf returned exit code 2 +make[1]: *** [debian/rules:53: override_dh_auto_build-indep] Error 25 make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - rm -f debian/yosys-doc.debhelper.log - debian/rules override_dh_auto_test-arch -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -dh_auto_test -- PATH="$PWD:$PATH" - make -j3 test PATH=/build/reproducible-path/yosys-0.33:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games -make[2]: Entering directory '/build/reproducible-path/yosys-0.33' -[Makefile.conf] CONFIG := gcc -[Makefile.conf] ABCPULL=0 -[Makefile.conf] STRIP=: -cd tests/simple && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/simple' -+ gcc -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -o /build/reproducible-path/yosys-0.33/tests/tools/cmp_tbdata /build/reproducible-path/yosys-0.33/tests/tools/cmp_tbdata.c -Test: case_expr_extend -> ok -Test: case_expr_query -> ok -Test: arrays02 -> ok -Test: implicit_ports -> ok -Test: defvalue -> ok -Test: lesser_size_cast -> ok -Test: local_loop_var -> ok -Test: matching_end_labels -> ok -Test: memwr_port_connection -> ok -Test: macro_arg_spaces -> ok -Test: unnamed_block_decl -> ok -Test: always01 -> ok -Test: aes_kexp128 -> ok -Test: always02 -> ok -Test: asgn_binop -> ok -Test: always03 -> ok -Test: arraycells -> ok -Test: arrays01 -> ok -Test: attrib01_module -> ok -Test: attrib02_port_decl -> ok -Test: attrib03_parameter -> ok -Test: attrib04_net_var -> ok -Test: attrib06_operator_suffix -> ok -Test: attrib08_mod_inst -> ok -Test: attrib09_case -> ok -Test: case_expr_const -> ok -Test: carryadd -> ok -Test: case_expr_non_const -> ok -Test: const_branch_finish -> ok -Test: const_fold_func -> ok -Test: const_func_shadow -> ok -Test: constpower -> ok -Test: dff_different_styles -> ok -Test: constmuldivmod -> ok -Test: dff_init -> ok -Test: fiedler-cooley -> ok -Test: forgen01 -> ok -Test: forgen02 -> ok -Test: forloops -> ok -Test: dynslice -> ok -Test: fsm -> ok -Test: func_block -> ok -Test: func_recurse -> ok -Test: genblk_collide -> ok -Test: genblk_dive -> ok -Test: func_width_scope -> ok -Test: genblk_order -> ok -Test: genblk_port_shadow -> ok -Test: graphtest -> ok -Test: hierarchy -> ok -Test: hierdefparam -> ok -Test: i2c_master_tests -> ok -Test: ifdef_1 -> ok -Test: case_large -> ok -Test: ifdef_2 -> ok -Test: localparam_attr -> ok -Test: loop_var_shadow -> ok -Test: loop_prefix_case -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: generate -> ok -Test: loops -> ok -Test: macros -> ok -Test: mem2reg_bounds_tern -> ok -Test: mem_arst -> ok -Test: mem2reg -> ok -Test: module_scope -> ok -Test: module_scope_case -> ok -Test: module_scope_func -> ok -Test: muxtree -> ok -Test: named_genblk -> ok -Test: multiplier -> ok -Test: nested_genblk_resolve -> ok -Test: omsp_dbg_uart -> ok -Test: param_attr -> ok -Test: paramods -> ok -Test: operators -> ok -Test: memory -> ok -Test: realexpr -> ok -Test: process -> ok -Test: partsel -> ok -Test: repwhile -> ok -Test: retime -> ok -Test: signed_full_slice -> ok -Test: scopes -> ok -Test: signedexpr -> ok -Test: specify -> ok -Test: string_format -> ok -Test: subbytes -> ok -Test: sincos -> ok -Test: undef_eqx_nex -> ok -Test: usb_phy_tests -> ok -Test: task_func -> ok -Test: verilog_primitives -> ok -Test: values -> ok -Test: wandwor -> ok -Test: vloghammer -> ok -Test: wreduce -> ok -Test: rotate -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/simple' -cd tests/simple_abc9 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/simple_abc9' -Test: always01 -> ok -Test: aes_kexp128 -> ok -Test: always02 -> ok -Test: always03 -> ok -Test: arrays01 -> ok -Test: arraycells -> ok -Test: attrib01_module -> ok -Test: attrib02_port_decl -> ok -Test: attrib04_net_var -> ok -Test: attrib03_parameter -> ok -Test: attrib08_mod_inst -> ok -Test: attrib06_operator_suffix -> ok -Test: attrib09_case -> ok -Test: carryadd -> ok -Test: case_expr_const -> ok -Test: case_expr_non_const -> ok -Test: const_branch_finish -> ok -Test: const_fold_func -> ok -Test: abc9 -> ok -Test: const_func_shadow -> ok -Test: constpower -> ok -Test: dff_different_styles -> ok -Test: dff_init -> ok -Test: constmuldivmod -> ok -Test: fiedler-cooley -> ok -Test: forgen01 -> ok -Test: forgen02 -> ok -Test: forloops -> ok -Test: fsm -> ok -Test: func_block -> ok -Test: func_recurse -> ok -Test: func_width_scope -> ok -Test: genblk_collide -> ok -Test: genblk_dive -> ok -Test: genblk_order -> ok -Test: genblk_port_shadow -> ok -Test: generate -> ok -Test: graphtest -> ok -Test: hierarchy -> ok -Test: hierdefparam -> ok -Test: i2c_master_tests -> ok -Test: ifdef_1 -> ok -Test: ifdef_2 -> ok -Test: localparam_attr -> ok -Test: loop_prefix_case -> ok -Test: loop_var_shadow -> ok -Test: loops -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: dynslice -> ok -Test: macros -> ok -Test: mem2reg_bounds_tern -> ok -Test: mem2reg -> ok -Test: mem_arst -> ok -Test: module_scope -> ok -Test: module_scope_case -> ok -Test: module_scope_func -> ok -Test: multiplier -> ok -Test: muxtree -> ok -Test: named_genblk -> ok -Test: case_large -> ok -Test: nested_genblk_resolve -> ok -Test: omsp_dbg_uart -> ok -Test: param_attr -> ok -Test: paramods -> ok -Test: memory -> ok -Test: process -> ok -Test: realexpr -> ok -Test: repwhile -> ok -Test: retime -> ok -Test: rotate -> ok -Test: scopes -> ok -Test: signed_full_slice -> ok -Test: signedexpr -> ok -Test: partsel -> ok -Test: string_format -> ok -Test: subbytes -> ok -Test: operators -> ok -Test: undef_eqx_nex -> ok -Test: usb_phy_tests -> ok -Test: values -> ok -Test: task_func -> ok -Test: verilog_primitives -> ok -Test: vloghammer -> ok -Test: wandwor -> ok -Test: sincos -> ok -Test: arrays02 -> ok -Test: case_expr_extend -> ok -Test: case_expr_query -> ok -Test: defvalue -> ok -Test: implicit_ports -> ok -Test: lesser_size_cast -> ok -Test: wreduce -> ok -Test: local_loop_var -> ok -Test: matching_end_labels -> ok -Test: asgn_binop -> ok -Test: memwr_port_connection -> ok -Test: unnamed_block_decl -> ok -Test: macro_arg_spaces -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/simple_abc9' -cd tests/hana && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/hana' -Test: test_parse2synthtrans -> ok -Test: test_parser -> ok -Test: test_simulation_and -> ok -Test: test_simulation_always -> ok -Test: test_simulation_buffer -> ok -Test: test_simulation_inc -> ok -Test: test_simulation_decoder -> ok -Test: test_simulation_nand -> ok -Test: test_intermout -> ok -Test: test_simulation_nor -> ok -Test: test_simulation_seq -> ok -Test: test_simulation_mux -> ok -Test: test_simulation_or -> ok -Test: test_simulation_sop -> ok -Test: test_simulation_techmap -> ok -Test: test_simulation_vlib -> ok -Test: test_simulation_xnor -> ok -Test: test_simulation_shifter -> ok -Test: test_simulation_xor -> ok -Test: test_simulation_techmap_tech -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/hana' -cd tests/asicworld && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/asicworld' -Test: code_hdl_models_GrayCounter -> ok -Test: code_hdl_models_arbiter -> ok -Test: code_hdl_models_clk_div -> ok -Test: code_hdl_models_d_ff_gates -> ok -Test: code_hdl_models_clk_div_45 -> ok -Test: code_hdl_models_d_latch_gates -> ok -Test: code_hdl_models_decoder_2to4_gates -> ok -Test: code_hdl_models_decoder_using_assign -> ok -Test: code_hdl_models_decoder_using_case -> ok -Test: code_hdl_models_dff_async_reset -> ok -Test: code_hdl_models_dff_sync_reset -> ok -Test: code_hdl_models_encoder_4to2_gates -> ok -Test: code_hdl_models_encoder_using_case -> ok -Test: code_hdl_models_encoder_using_if -> ok -Test: code_hdl_models_full_adder_gates -> ok -Test: code_hdl_models_full_subtracter_gates -> ok -Test: code_hdl_models_gray_counter -> ok -Test: code_hdl_models_half_adder_gates -> ok -Test: code_hdl_models_lfsr -> ok -Test: code_hdl_models_lfsr_updown -> ok -Test: code_hdl_models_mux_2to1_gates -> ok -Test: code_hdl_models_mux_using_assign -> ok -Test: code_hdl_models_mux_using_case -> ok -Test: code_hdl_models_mux_using_if -> ok -Test: code_hdl_models_one_hot_cnt -> ok -Test: code_hdl_models_parallel_crc -> ok -Test: code_hdl_models_parity_using_assign -> ok -Test: code_hdl_models_parity_using_bitwise -> ok -Test: code_hdl_models_parity_using_function -> ok -Test: code_hdl_models_rom_using_case -> ok -Test: code_hdl_models_pri_encoder_using_assign -> ok -Test: code_hdl_models_tff_async_reset -> ok -Test: code_hdl_models_serial_crc -> ok -Test: code_hdl_models_tff_sync_reset -> ok -Test: code_hdl_models_up_counter -> ok -Test: code_hdl_models_up_counter_load -> ok -Test: code_hdl_models_uart -> ok -Test: code_hdl_models_up_down_counter -> ok -Test: code_tidbits_asyn_reset -> ok -Test: code_tidbits_blocking -> ok -Test: code_specman_switch_fabric -> ok -Test: code_tidbits_fsm_using_always -> ok -Test: code_tidbits_fsm_using_function -> ok -Test: code_tidbits_nonblocking -> ok -Test: code_tidbits_fsm_using_single_always -> ok -Test: code_tidbits_reg_combo_example -> ok -Test: code_tidbits_reg_seq_example -> ok -Test: code_tidbits_syn_reset -> ok -Test: code_tidbits_wire_example -> ok -Test: code_verilog_tutorial_always_example -> ok -Test: code_hdl_models_cam -> ok -Test: code_verilog_tutorial_addbit -> ok -Test: code_verilog_tutorial_bus_con -> ok -Test: code_verilog_tutorial_comment -> ok -Test: code_verilog_tutorial_counter -> ok -Test: code_verilog_tutorial_d_ff -> ok -Test: code_verilog_tutorial_decoder -> ok -Test: code_verilog_tutorial_decoder_always -> ok -Test: code_verilog_tutorial_escape_id -> ok -Test: code_verilog_tutorial_first_counter -> ok -Test: code_verilog_tutorial_explicit -> ok -Test: code_verilog_tutorial_flip_flop -> ok -Test: code_verilog_tutorial_if_else -> ok -Test: code_verilog_tutorial_good_code -> ok -Test: code_verilog_tutorial_fsm_full -> ok -Test: code_verilog_tutorial_multiply -> ok -Test: code_verilog_tutorial_mux_21 -> ok -Test: code_verilog_tutorial_n_out_primitive -> ok -Test: code_verilog_tutorial_parallel_if -> ok -Test: code_verilog_tutorial_simple_function -> ok -Test: code_verilog_tutorial_simple_if -> ok -Test: code_verilog_tutorial_parity -> ok -Test: code_verilog_tutorial_task_global -> ok -Test: code_verilog_tutorial_v2k_reg -> ok -Test: code_verilog_tutorial_tri_buf -> ok -Test: code_verilog_tutorial_which_clock -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/asicworld' -# +cd tests/realmath && bash run-test.sh "" -cd tests/share && bash run-test.sh "" -generating tests.. -running tests.. -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] -cd tests/opt_share && bash run-test.sh "" -generating tests.. -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/opt_share' -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/opt_share' - -cd tests/fsm && bash run-test.sh "" -generating tests.. -PRNG seed: 335820230 -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/fsm' -[0][1][2]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[4]K[5]K[6]K[7]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[8]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[9]K[10]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[11]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[12]K[13]K[14]K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[16]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[17]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[18]K[19]K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[22]K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[24]T[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[26]K[27]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[29]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[30]K[31]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[32]K[33]K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[35]K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[37]K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[39]K[40]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[41]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[42]K[43]K[44]K[45]K[46]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[47]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[49]KWarning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -KK -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/fsm' -cd tests/techmap && bash run-test.sh -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/techmap' -Warning: wire '\Q' is assigned in a block at < ok -Test: firrtl_938 -> ok -Test: amber23_sram_byte_en -> ok -Test: issue00710 -> ok -Test: no_implicit_en -> ok -Test: read_arst -> ok -Test: issue00335 -> ok -Test: read_two_mux -> ok -Test: simple_sram_byte_en -> ok -Test: shared_ports -> ok -Test: trans_addr_enable -> ok -Test: trans_sdp -> ok -Test: trans_sp -> ok -Test: wide_all -> ok -Test: wide_read_async -> ok -Test: wide_read_mixed -> ok -Test: wide_read_sync -> ok -Test: wide_read_trans -> ok -Test: wide_thru_priority -> ok -Test: wide_write -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/memories' -Testing expectations for amber23_sram_byte_en.v .. ok. -Testing expectations for implicit_en.v .. ok. -Testing expectations for issue00335.v .. ok. -Testing expectations for issue00710.v .. ok. -Testing expectations for no_implicit_en.v .. ok. -Testing expectations for read_arst.v .. ok. -Testing expectations for read_two_mux.v .. ok. -Testing expectations for shared_ports.v .. ok. -Testing expectations for simple_sram_byte_en.v .. ok. -Testing expectations for trans_addr_enable.v .. ok. -Testing expectations for trans_sdp.v .. ok. -Testing expectations for trans_sp.v .. ok. -Testing expectations for wide_all.v .. ok. -Testing expectations for wide_read_async.v .. ok. -Testing expectations for wide_read_mixed.v .. ok. -Testing expectations for wide_read_sync.v .. ok. -Testing expectations for wide_read_trans.v .. ok. -Testing expectations for wide_thru_priority.v .. ok. -Testing expectations for wide_write.v .. ok. -cd tests/memlib && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/memlib' -Test: t_async_small -> ok -Test: t_async_small_block -> ok -Test: t_sync_big -> ok -Test: t_sync_big_sdp -> ok -Test: t_async_big -> ok -Test: t_sync_small -> ok -Test: t_sync_small_block -> ok -Test: t_sync_small_block_attr -> ok -Test: t_init_lut_zeros_zero -> ok -Test: t_sync_big_lut -> ok -Test: t_init_lut_zeros_any -> ok -Test: t_init_lut_val_zero -> ok -Test: t_init_lut_val_any -> ok -Test: t_init_lut_val_no_undef -> ok -Test: t_init_lut_val2_any -> ok -Test: t_init_lut_val2_no_undef -> ok -Test: t_init_lut_x_none -> ok -Test: t_init_lut_x_zero -> ok -Test: t_init_lut_x_any -> ok -Test: t_init_lut_x_no_undef -> ok -Test: t_ram_18b2B -> ok -Test: t_ram_9b1B -> ok -Test: t_async_big_block -> ok -Test: t_ram_4b1B -> ok -Test: t_ram_2b1B -> ok -Test: t_ram_1b1B -> ok -Test: t_init_9b1B_zeros_zero -> ok -Test: t_init_9b1B_zeros_any -> ok -Test: t_init_9b1B_val_zero -> ok -Test: t_init_9b1B_val_any -> ok -Test: t_init_9b1B_val_no_undef -> ok -Test: t_init_13b2B_val_any -> ok -Test: t_init_18b2B_val_any -> ok -Test: t_init_18b2B_val_no_undef -> ok -Test: t_init_4b1B_x_none -> ok -Test: t_init_4b1B_x_zero -> ok -Test: t_init_4b1B_x_any -> ok -Test: t_init_4b1B_x_no_undef -> ok -Test: t_clock_a4_wANYrANYsFalse -> ok -Test: t_clock_a4_wANYrNEGsFalse -> ok -Test: t_clock_a4_wANYrPOSsFalse -> ok -Test: t_clock_a4_wNEGrANYsFalse -> ok -Test: t_clock_a4_wNEGrPOSsFalse -> ok -Test: t_clock_a4_wNEGrNEGsFalse -> ok -Test: t_clock_a4_wPOSrANYsFalse -> ok -Test: t_clock_a4_wPOSrNEGsFalse -> ok -Test: t_clock_a4_wPOSrPOSsFalse -> ok -Test: t_clock_a4_wANYrANYsTrue -> ok -Test: t_clock_a4_wNEGrPOSsTrue -> ok -Test: t_clock_a4_wNEGrNEGsTrue -> ok -Test: t_clock_a4_wPOSrNEGsTrue -> ok -Test: t_clock_a4_wPOSrPOSsTrue -> ok -Test: t_unmixed -> ok -Test: t_mixed_18_9 -> ok -Test: t_mixed_9_18 -> ok -Test: t_mixed_36_9 -> ok -Test: t_mixed_4_2 -> ok -Test: t_tdp -> ok -Test: t_sync_2clk -> ok -Test: t_sync_shared -> ok -Test: t_sync_trans_old_old -> ok -Test: t_sync_2clk_shared -> ok -Test: t_sync_trans_old_new -> ok -Test: t_sync_trans_old_none -> ok -Test: t_sync_trans_new_old -> ok -Test: t_sync_trans_new_new -> ok -Test: t_sync_trans_new_none -> ok -Test: t_sp_nc_none -> ok -Test: t_sp_new_none -> ok -Test: t_sp_nc_nc -> ok -Test: t_sp_old_none -> ok -Test: t_sp_new_nc -> ok -Test: t_sp_old_nc -> ok -Test: t_sp_nc_new -> ok -Test: t_sp_new_new -> ok -Test: t_sp_old_new -> ok -Test: t_sp_nc_old -> ok -Test: t_sp_new_old -> ok -Test: t_sp_old_old -> ok -Test: t_sp_nc_new_only -> ok -Test: t_sp_new_new_only -> ok -Test: t_sp_nc_new_only_be -> ok -Test: t_sp_old_new_only -> ok -Test: t_sp_new_new_only_be -> ok -Test: t_sp_nc_new_be -> ok -Test: t_sp_old_new_only_be -> ok -Test: t_sp_new_new_be -> ok -Test: t_sp_old_new_be -> ok -Test: t_sp_nc_old_be -> ok -Test: t_sp_new_old_be -> ok -Test: t_sp_old_old_be -> ok -Test: t_sp_new_nc_be -> ok -Test: t_sp_nc_nc_be -> ok -Test: t_sp_old_nc_be -> ok -Test: t_sp_nc_auto -> ok -Test: t_sp_new_auto -> ok -Test: t_sp_new_auto_be -> ok -Test: t_sp_old_auto -> ok -Test: t_sp_nc_auto_be -> ok -Test: t_sp_old_auto_be -> ok -Test: t_sp_init_x_x -> ok -Test: t_sp_init_x_x_re -> ok -Test: t_sp_init_0_x_re -> ok -Test: t_sp_init_x_x_ce -> ok -Test: t_sp_init_0_x -> ok -Test: t_sp_init_0_0 -> ok -Test: t_sp_init_0_0_re -> ok -Test: t_sp_init_0_any -> ok -Test: t_sp_init_0_any_re -> ok -Test: t_sp_init_v_x -> ok -Test: t_sp_init_v_x_re -> ok -Test: t_sp_init_v_0 -> ok -Test: t_sp_init_v_any -> ok -Test: t_sp_init_v_0_re -> ok -Test: t_sp_init_v_any_re -> ok -Test: t_sp_arst_x_x -> ok -Test: t_sp_arst_x_x_re -> ok -Test: t_sp_arst_0_x -> ok -Test: t_sp_arst_0_x_re -> ok -Test: t_sp_arst_0_0 -> ok -Test: t_sp_arst_0_0_re -> ok -Test: t_sp_arst_0_any -> ok -Test: t_sp_arst_0_any_re -> ok -Test: t_sp_arst_0_init -> ok -Test: t_sp_arst_0_init_re -> ok -Test: t_sp_arst_v_x -> ok -Test: t_sp_arst_v_x_re -> ok -Test: t_sp_arst_v_0 -> ok -Test: t_sp_arst_v_0_re -> ok -Test: t_sp_arst_v_any -> ok -Test: t_sp_arst_v_any_re -> ok -Test: t_sp_arst_v_init -> ok -Test: t_sp_arst_v_init_re -> ok -Test: t_sp_arst_e_x -> ok -Test: t_sp_arst_e_x_re -> ok -Test: t_sp_arst_e_0 -> ok -Test: t_sp_arst_e_0_re -> ok -Test: t_sp_arst_e_any -> ok -Test: t_sp_arst_e_any_re -> ok -Test: t_sp_arst_e_init -> ok -Test: t_sp_arst_e_init_re -> ok -Test: t_sp_arst_n_x -> ok -Test: t_sp_arst_n_x_re -> ok -Test: t_sp_arst_n_0 -> ok -Test: t_sp_arst_n_0_re -> ok -Test: t_sp_arst_n_any -> ok -Test: t_sp_arst_n_any_re -> ok -Test: t_sp_arst_n_init -> ok -Test: t_sp_arst_n_init_re -> ok -Test: t_sp_srst_x_x -> ok -Test: t_sp_srst_x_x_re -> ok -Test: t_sp_srst_0_x -> ok -Test: t_sp_srst_0_x_re -> ok -Test: t_sp_srst_0_0 -> ok -Test: t_sp_srst_0_0_re -> ok -Test: t_sp_srst_0_any -> ok -Test: t_sp_srst_0_any_re -> ok -Test: t_sp_srst_0_init -> ok -Test: t_sp_srst_0_init_re -> ok -Test: t_sp_srst_v_x -> ok -Test: t_sp_srst_v_x_re -> ok -Test: t_sp_srst_v_0 -> ok -Test: t_sp_srst_v_0_re -> ok -Test: t_sp_srst_v_any -> ok -Test: t_sp_srst_v_any_re -> ok -Test: t_sp_srst_v_any_re_gated -> ok -Test: t_sp_srst_v_any_ce -> ok -Test: t_sp_srst_v_any_ce_gated -> ok -Test: t_sp_srst_v_init -> ok -Test: t_sp_srst_v_init_re -> ok -Test: t_sp_srst_e_x -> ok -Test: t_sp_srst_e_x_re -> ok -Test: t_sp_srst_e_0 -> ok -Test: t_sp_srst_e_0_re -> ok -Test: t_sp_srst_e_any -> ok -Test: t_sp_srst_e_any_re -> ok -Test: t_sp_srst_e_init -> ok -Test: t_sp_srst_e_init_re -> ok -Test: t_sp_srst_n_x -> ok -Test: t_sp_srst_n_x_re -> ok -Test: t_sp_srst_n_0 -> ok -Test: t_sp_srst_n_0_re -> ok -Test: t_sp_srst_n_any -> ok -Test: t_sp_srst_n_any_re -> ok -Test: t_sp_srst_n_init -> ok -Test: t_sp_srst_n_init_re -> ok -Test: t_sp_srst_gv_x -> ok -Test: t_sp_srst_gv_x_re -> ok -Test: t_sp_srst_gv_0 -> ok -Test: t_sp_srst_gv_0_re -> ok -Test: t_sp_srst_gv_any -> ok -Test: t_sp_srst_gv_any_re -> ok -Test: t_sp_srst_gv_any_re_gated -> ok -Test: t_sp_srst_gv_any_ce -> ok -Test: t_sp_srst_gv_any_ce_gated -> ok -Test: t_sp_srst_gv_init -> ok -Test: t_sp_srst_gv_init_re -> ok -Test: t_wren_a4d4_NO_BYTE -> ok -Test: t_wren_a5d4_NO_BYTE -> ok -Test: t_wren_a3d8_NO_BYTE -> ok -Test: t_wren_a6d4_NO_BYTE -> ok -Test: t_wren_a4d8_NO_BYTE -> ok -Test: t_wren_a4d4_W4_B4 -> ok -Test: t_wren_a4d8_W4_B4_separate -> ok -Test: t_wren_a4d8_W8_B4 -> ok -Test: t_wren_a4d8_W8_B4_separate -> ok -Test: t_wren_a4d8_W8_B8 -> ok -Test: t_wren_a4d8_W8_B8_separate -> ok -Test: t_wren_a4d2w8_W16_B4 -> ok -Test: t_wren_a4d2w8_W16_B4_separate -> ok -Test: t_wren_a4d4w4_W16_B4 -> ok -Test: t_wren_a4d4w4_W16_B4_separate -> ok -Test: t_wren_a5d4w2_W16_B4 -> ok -Test: t_wren_a5d4w2_W16_B4_separate -> ok -Test: t_wren_a4d8w2_W16_B4 -> ok -Test: t_wren_a5d4w4_W16_B4 -> ok -Test: t_wren_a5d4w4_W16_B4_separate -> ok -Test: t_wren_a5d8w1_W16_B4 -> ok -Test: t_wren_a4d8w2_W16_B4_separate -> ok -Test: t_wren_a5d8w1_W16_B4_separate -> ok -Test: t_wren_a5d8w2_W16_B4 -> ok -Test: t_wren_a5d8w2_W16_B4_separate -> ok -Test: t_wren_a4d16w1_W16_B4 -> ok -Test: t_wren_a4d16w1_W16_B4_separate -> ok -Test: t_wren_a4d4w2_W8_B8 -> ok -Test: t_wren_a4d4w2_W8_B8_separate -> ok -Test: t_wren_a4d4w1_W8_B8 -> ok -Test: t_wren_a4d4w1_W8_B8_separate -> ok -Test: t_wren_a4d8w2_W8_B8 -> ok -Test: t_wren_a4d8w2_W8_B8_separate -> ok -Test: t_wren_a3d8w2_W8_B8 -> ok -Test: t_wren_a3d8w2_W8_B8_separate -> ok -Test: t_wren_a4d4w2_W8_B4 -> ok -Test: t_wren_a4d4w2_W8_B4_separate -> ok -Test: t_wren_a4d2w4_W8_B4 -> ok -Test: t_wren_a4d2w4_W8_B4_separate -> ok -Test: t_wren_a4d4w4_W8_B4 -> ok -Test: t_wren_a4d4w4_W8_B4_separate -> ok -Test: t_wren_a4d4w4_W4_B4 -> ok -Test: t_wren_a4d4w5_W4_B4 -> ok -Test: t_wren_a4d4w4_W4_B4_separate -> ok -Test: t_geom_a4d64_wren -> ok -Test: t_wren_a4d4w5_W4_B4_separate -> ok -Test: t_geom_a5d32_wren -> ok -Test: t_geom_a6d30_wren -> ok -Test: t_geom_a5d64_wren -> ok -Test: t_geom_a6d16_wren -> ok -Test: t_geom_a7d4_wren -> ok -Test: t_geom_a6d64_wren -> ok -Test: t_geom_a7d6_wren -> ok -Test: t_geom_a7d8_wren -> ok -Test: t_geom_a7d17_wren -> ok -Test: t_geom_a8d4_wren -> ok -Test: t_geom_a8d6_wren -> ok -Test: t_geom_a9d8_wren -> ok -Test: t_geom_a9d4_wren -> ok -Test: t_geom_a3d18_9b1B -> ok -Test: t_geom_a9d5_wren -> ok -Test: t_geom_a9d6_wren -> ok -Test: t_geom_a4d4_9b1B -> ok -Test: t_geom_a4d18_9b1B -> ok -Test: t_geom_a5d32_9b1B -> ok -Test: t_geom_a6d4_9b1B -> ok -Test: t_geom_a7d11_9b1B -> ok -Test: t_geom_a7d18_9b1B -> ok -Test: t_geom_a11d1_9b1B -> ok -Test: t_wide_sdp_a6r1w1b1x1 -> ok -Test: t_wide_sdp_a7r1w1b1x1 -> ok -Test: t_wide_sdp_a8r1w1b1x1 -> ok -Test: t_wide_sdp_a6r0w0b0x0 -> ok -Test: t_wide_sdp_a6r1w0b0x0 -> ok -Test: t_wide_sdp_a6r2w0b0x0 -> ok -Test: t_wide_sdp_a6r3w0b0x0 -> ok -Test: t_wide_sdp_a6r4w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b0x0 -> ok -Test: t_wide_sdp_a6r5w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b1x0 -> ok -Test: t_wide_sdp_a6r0w2b0x0 -> ok -Test: t_wide_sdp_a6r0w2b2x0 -> ok -Test: t_wide_sdp_a6r0w3b2x0 -> ok -Test: t_wide_sdp_a6r0w4b2x0 -> ok -Test: t_wide_sdp_a6r0w5b2x0 -> ok -Test: t_wide_sdp_a7r0w0b0x0 -> ok -Test: t_wide_sdp_a7r1w0b0x0 -> ok -Test: t_wide_sdp_a7r2w0b0x0 -> ok -Test: t_wide_sdp_a7r3w0b0x0 -> ok -Test: t_wide_sdp_a7r4w0b0x0 -> ok -Test: t_wide_sdp_a7r0w1b0x0 -> ok -Test: t_wide_sdp_a7r5w0b0x0 -> ok -Test: t_wide_sdp_a7r0w1b1x0 -> ok -Test: t_wide_sdp_a7r0w2b0x0 -> ok -Test: t_wide_sdp_a7r0w2b2x0 -> ok -Test: t_wide_sdp_a7r0w3b2x0 -> ok -Test: t_wide_sp_mix_a6r1w1b1 -> ok -Test: t_wide_sdp_a7r0w4b2x0 -> ok -Test: t_wide_sdp_a7r0w5b2x0 -> ok -Test: t_wide_sp_mix_a7r1w1b1 -> ok -Test: t_wide_sp_mix_a8r1w1b1 -> ok -Test: t_wide_sp_mix_a6r0w0b0 -> ok -Test: t_wide_sp_mix_a6r1w0b0 -> ok -Test: t_wide_sp_mix_a6r2w0b0 -> ok -Test: t_wide_sp_mix_a6r3w0b0 -> ok -Test: t_wide_sp_mix_a6r4w0b0 -> ok -Test: t_wide_sp_mix_a6r5w0b0 -> ok -Test: t_wide_sp_mix_a6r0w1b0 -> ok -Test: t_wide_sp_mix_a6r0w1b1 -> ok -Test: t_wide_sp_mix_a6r0w2b0 -> ok -Test: t_wide_sp_mix_a6r0w2b2 -> ok -Test: t_wide_sp_mix_a6r0w3b2 -> ok -Test: t_wide_sp_mix_a6r0w4b2 -> ok -Test: t_wide_sp_mix_a7r0w0b0 -> ok -Test: t_wide_sp_mix_a6r0w5b2 -> ok -Test: t_wide_sp_mix_a7r1w0b0 -> ok -Test: t_wide_sp_mix_a7r2w0b0 -> ok -Test: t_wide_sp_mix_a7r3w0b0 -> ok -Test: t_wide_sp_mix_a7r4w0b0 -> ok -Test: t_wide_sp_mix_a7r5w0b0 -> ok -Test: t_wide_sp_mix_a7r0w1b0 -> ok -Test: t_wide_sp_mix_a7r0w1b1 -> ok -Test: t_wide_sp_mix_a7r0w2b0 -> ok -Test: t_wide_sp_mix_a7r0w2b2 -> ok -Test: t_wide_sp_mix_a7r0w3b2 -> ok -Test: t_wide_sp_mix_a7r0w4b2 -> ok -Test: t_wide_sp_tied_a6r1w1b1 -> ok -Test: t_wide_sp_mix_a7r0w5b2 -> ok -Test: t_wide_sp_tied_a7r1w1b1 -> ok -Test: t_wide_sp_tied_a8r1w1b1 -> ok -Test: t_wide_sp_tied_a6r0w0b0 -> ok -Test: t_wide_sp_tied_a6r1w0b0 -> ok -Test: t_wide_sp_tied_a6r2w0b0 -> ok -Test: t_wide_sp_tied_a6r3w0b0 -> ok -Test: t_wide_sp_tied_a6r4w0b0 -> ok -Test: t_wide_sp_tied_a6r0w1b0 -> ok -Test: t_wide_sp_tied_a6r5w0b0 -> ok -Test: t_wide_sp_tied_a6r0w1b1 -> ok -Test: t_wide_sp_tied_a6r0w2b0 -> ok -Test: t_wide_sp_tied_a6r0w2b2 -> ok -Test: t_wide_sp_tied_a6r0w3b2 -> ok -Test: t_wide_sp_tied_a6r0w4b2 -> ok -Test: t_wide_sp_tied_a7r0w0b0 -> ok -Test: t_wide_sp_tied_a6r0w5b2 -> ok -Test: t_wide_sp_tied_a7r1w0b0 -> ok -Test: t_wide_sp_tied_a7r2w0b0 -> ok -Test: t_wide_sp_tied_a7r3w0b0 -> ok -Test: t_wide_sp_tied_a7r4w0b0 -> ok -Test: t_wide_sp_tied_a7r5w0b0 -> ok -Test: t_wide_sp_tied_a7r0w1b0 -> ok -Test: t_wide_sp_tied_a7r0w1b1 -> ok -Test: t_wide_sp_tied_a7r0w2b0 -> ok -Test: t_wide_sp_tied_a7r0w2b2 -> ok -Test: t_wide_sp_tied_a7r0w3b2 -> ok -Test: t_wide_sp_tied_a7r0w4b2 -> ok -Test: t_wide_read_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a7r0w5b2 -> ok -Test: t_wide_read_a7r1w1b1 -> ok -Test: t_wide_write_a6r1w1b1 -> ok -Test: t_wide_write_a7r1w1b1 -> ok -Test: t_wide_read_a8r1w1b1 -> ok -Test: t_wide_write_a8r1w1b1 -> ok -Test: t_wide_read_a6r0w0b0 -> ok -Test: t_wide_write_a6r0w0b0 -> ok -Test: t_wide_read_a6r1w0b0 -> ok -Test: t_wide_write_a6r1w0b0 -> ok -Test: t_wide_read_a6r2w0b0 -> ok -Test: t_wide_write_a6r2w0b0 -> ok -Test: t_wide_read_a6r3w0b0 -> ok -Test: t_wide_write_a6r3w0b0 -> ok -Test: t_wide_read_a6r4w0b0 -> ok -Test: t_wide_write_a6r4w0b0 -> ok -Test: t_wide_read_a6r5w0b0 -> ok -Test: t_wide_read_a6r0w1b0 -> ok -Test: t_wide_write_a6r5w0b0 -> ok -Test: t_wide_write_a6r0w1b0 -> ok -Test: t_wide_read_a6r0w1b1 -> ok -Test: t_wide_write_a6r0w1b1 -> ok -Test: t_wide_read_a6r0w2b0 -> ok -Test: t_wide_read_a6r0w2b2 -> ok -Test: t_wide_write_a6r0w2b0 -> ok -Test: t_wide_write_a6r0w2b2 -> ok -Test: t_wide_write_a6r0w3b2 -> ok -Test: t_wide_read_a6r0w3b2 -> ok -Test: t_wide_read_a6r0w4b2 -> ok -Test: t_wide_write_a6r0w4b2 -> ok -Test: t_wide_read_a6r0w5b2 -> ok -Test: t_wide_read_a7r0w0b0 -> ok -Test: t_wide_write_a6r0w5b2 -> ok -Test: t_wide_write_a7r0w0b0 -> ok -Test: t_wide_read_a7r1w0b0 -> ok -Test: t_wide_write_a7r1w0b0 -> ok -Test: t_wide_read_a7r2w0b0 -> ok -Test: t_wide_write_a7r2w0b0 -> ok -Test: t_wide_read_a7r3w0b0 -> ok -Test: t_wide_write_a7r3w0b0 -> ok -Test: t_wide_read_a7r4w0b0 -> ok -Test: t_wide_write_a7r4w0b0 -> ok -Test: t_wide_read_a7r5w0b0 -> ok -Test: t_wide_read_a7r0w1b0 -> ok -Test: t_wide_write_a7r0w1b0 -> ok -Test: t_wide_write_a7r5w0b0 -> ok -Test: t_wide_read_a7r0w1b1 -> ok -Test: t_wide_write_a7r0w1b1 -> ok -Test: t_wide_read_a7r0w2b0 -> ok -Test: t_wide_write_a7r0w2b0 -> ok -Test: t_wide_read_a7r0w2b2 -> ok -Test: t_wide_write_a7r0w2b2 -> ok -Test: t_wide_read_a7r0w3b2 -> ok -Test: t_wide_write_a7r0w3b2 -> ok -Test: t_wide_read_a7r0w4b2 -> ok -Test: t_wide_write_a7r0w4b2 -> ok -Test: t_quad_port_a2d2 -> ok -Test: t_wide_read_a7r0w5b2 -> ok -Test: t_wide_write_a7r0w5b2 -> ok -Test: t_quad_port_a4d2 -> ok -Test: t_quad_port_a5d2 -> ok -Test: t_quad_port_a4d4 -> ok -Test: t_quad_port_a6d2 -> ok -Test: t_wide_quad_a4w2r1 -> ok -Test: t_quad_port_a4d8 -> ok -Test: t_wide_oct_a4w2r1 -> ok -Test: t_wide_quad_a4w2r2 -> ok -Test: t_wide_oct_a4w2r2 -> ok -Test: t_wide_quad_a4w2r3 -> ok -Test: t_wide_oct_a4w2r3 -> ok -Test: t_wide_quad_a4w2r4 -> ok -Test: t_wide_oct_a4w2r4 -> ok -Test: t_wide_quad_a4w2r5 -> ok -Test: t_wide_oct_a4w2r5 -> ok -Test: t_wide_quad_a4w2r6 -> ok -Test: t_wide_oct_a4w2r6 -> ok -Test: t_wide_quad_a4w2r7 -> ok -Test: t_wide_oct_a4w2r7 -> ok -Test: t_wide_quad_a4w2r8 -> ok -Test: t_wide_oct_a4w2r8 -> ok -Test: t_wide_quad_a4w2r9 -> ok -Test: t_wide_quad_a4w4r1 -> ok -Test: t_wide_oct_a4w2r9 -> ok -Test: t_wide_oct_a4w4r1 -> ok -Test: t_wide_quad_a4w4r4 -> ok -Test: t_wide_oct_a4w4r4 -> ok -Test: t_wide_quad_a4w4r6 -> ok -Test: t_wide_oct_a4w4r6 -> ok -Test: t_wide_quad_a4w4r9 -> ok -Test: t_wide_quad_a5w2r1 -> ok -Test: t_wide_oct_a4w4r9 -> ok -Test: t_wide_oct_a5w2r1 -> ok -Test: t_wide_quad_a5w2r4 -> ok -Test: t_wide_oct_a5w2r4 -> ok -Test: t_wide_quad_a5w2r9 -> ok -Test: t_no_reset -> ok -Test: t_wide_oct_a5w2r9 -> ok -Test: t_gclken -> ok -Test: t_ungated -> ok -Test: t_gclken_ce -> ok -Test: t_grden -> ok -Test: t_grden_ce -> ok -Test: t_exclwr -> ok -Test: t_excl_rst -> ok -Test: t_transwr -> ok -Test: t_trans_rst -> ok -Test: t_wr_byte -> ok -Test: t_trans_byte -> ok -Test: t_wr_rst_byte -> ok -Test: t_rst_wr_byte -> ok -Test: t_rdenrst_wr_byte -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/memlib' -cd tests/bram && bash run-test.sh "" -generating tests.. -PRNG seed: 672455 -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/bram' -Passed memory_bram test 00_01. -Passed memory_bram test 00_03. -Passed memory_bram test 00_02. -Passed memory_bram test 01_02. -Passed memory_bram test 00_04. -Passed memory_bram test 01_00. -Passed memory_bram test 01_03. -Passed memory_bram test 02_00. -Passed memory_bram test 02_01. -Passed memory_bram test 01_04. -Passed memory_bram test 02_03. -Passed memory_bram test 03_00. -Passed memory_bram test 03_01. -Passed memory_bram test 03_02. -Passed memory_bram test 03_04. -Passed memory_bram test 04_00. -Passed memory_bram test 02_04. -Passed memory_bram test 04_01. -Passed memory_bram test 04_02. -Passed memory_bram test 04_03. -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/bram' -cd tests/various && bash run-test.sh -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/various' -Passed attrib05_port_conn.ys -Passed aiger_dff.ys -Passed autoname.ys -Passed attrib07_func_call.ys -Passed blackbox_wb.ys -Passed bug1496.ys -Passed bug1614.ys -Passed bug1710.ys -Warning: Yosys has only limited support for tri-state logic at the moment. (< svinterface1_tb.v:50: $finish called at 420000 (10ps) -svinterface1_tb.v:50: $finish called at 420000 (10ps) -ok -Test: svinterface_at_top -> svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) -svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) -ERROR! -Test: load_and_derive ->ok -Test: resolve_types ->ok -cd tests/svtypes && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/svtypes' -< ok -Test ../../techlibs/anlogic/cells_sim.v -> ok -Test ../../techlibs/coolrunner2/cells_sim.v -> ok -Test ../../techlibs/ecp5/cells_sim.v -> ok -Test ../../techlibs/efinix/cells_sim.v -> ok -Test ../../techlibs/gatemate/cells_sim.v -> ok -Test ../../techlibs/gowin/cells_sim.v -> ok -Test ../../techlibs/greenpak4/cells_sim.v -> ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. - ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. - ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. - ok -Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok -Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok -Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok -Test ../../techlibs/intel/max10/cells_sim.v -> ok -Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok -Test ../../techlibs/nexus/cells_sim.v -> ok -Test ../../techlibs/quicklogic/cells_sim.v -> ok -Test ../../techlibs/sf2/cells_sim.v -> ok -Test ../../techlibs/xilinx/cells_sim.v -> ok -Test ../../techlibs/common/simcells.v -> ok -Test ../../techlibs/common/simlib.v -> ok -cd tests/arch/ice40 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/arch/ice40' -Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits. -Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits. -Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits. -Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits. -Passed add_sub.ys -Passed bug1597.ys -Passed bug1626.ys -Passed bug1598.ys -Passed bug2061.ys -Passed adffs.ys -Passed counter.ys -Passed dffs.ys -Passed fsm.ys -Passed ice40_dsp.ys -Passed ice40_opt.ys -Passed ice40_wrapcarry.ys -Passed latches.ys -Passed logic.ys -Passed dpram.ys -Passed macc.ys -Passed mul.ys -Passed bug1644.ys -Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. -Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. -Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. -Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. -Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. -Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. -Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. -Passed rom.ys -Passed shifter.ys -Warning: wire '\read_data' is assigned in a block at spram.v:19.3-19.25. -Passed mux.ys -Passed spram.ys -Passed tribuf.ys -Passed memories.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/arch/ice40' -cd tests/arch/xilinx && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/arch/xilinx' -Warning: Shift register inference not yet supported for family xc3s. -Passed add_sub.ys -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Passed adffs.ys -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. -Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Warning: Selection "asym_ram_sdp_read_wider" did not match any module. -Passed asym_ram_sdp.ys -Passed abc9_dff.ys -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. -Passed bug1460.ys -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. -Passed attributes_test.ys -Passed bug1462.ys -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. -Passed bug1480.ys -Warning: Wire top.\t is used but has no driver. -Warning: Wire top.\in is used but has no driver. -Passed bug1605.ys -Passed bug1598.ys -Passed bug3670.ys -Passed counter.ys -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. -Passed dffs.ys -Passed dsp_abc9.ys -Passed dsp_fastfir.ys -Passed dsp_simd.ys -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Warning: Shift register inference not yet supported for family xc3se. -Passed fsm.ys -Passed blockram.ys -Passed logic.ys -Passed latches.ys -Passed macc.ys -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed mul.ys -Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 -Passed dsp_cascade.ys -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Warning: Shift register inference not yet supported for family xc3s. -Passed mul_unsigned.ys -Warning: Shift register inference not yet supported for family xc3se. -Passed lutram.ys -Passed mux.ys -Passed opt_lut_ins.ys -Passed nosrl.ys -Passed mux_lut4.ys -Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. -Passed shifter.ys -Passed pmgen_xilinx_srl.ys -Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed xilinx_dffopt.ys -Passed xilinx_dsp.ys -Passed xilinx_srl.ys -Passed tribuf.ys -Passed tribuf.sh -Passed macc.sh -Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed priority_memory.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/arch/xilinx' -cd tests/arch/ecp5 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/arch/ecp5' -Passed add_sub.ys -Passed bug1459.ys -Passed bug1630.ys -Warning: Literal has a width of 16 bit, but value requires 184 bit. (<>>/,/<<>>/ {print $0}' -+ iverilog -o iverilog-initial_display initial_display.v -+ ./iverilog-initial_display -+ diff yosys-initial_display.log iverilog-initial_display.log -+ test_always_display clk -DEVENT_CLK -+ local subtest=clk -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:4$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$always_display.v:4$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 0de35d2746, CPU: user 0.02s system 0.01s, MEM: 7.33 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 37% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk-1.v -Parsing Verilog input from `yosys-always_display-clk-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk-1.v:18$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk-1.v:18$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-always_display-clk-1.v:18$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: e35e8bb689, CPU: user 0.02s system 0.01s, MEM: 5.79 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 36% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v -+ test_always_display clk_rst -DEVENT_CLK_RST -+ local subtest=clk_rst -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:7$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$always_display.v:7$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk_rst-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: c95608ddf0, CPU: user 0.02s system 0.00s, MEM: 5.68 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 37% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst-1.v -Parsing Verilog input from `yosys-always_display-clk_rst-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-always_display-clk_rst-1.v:18$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk_rst-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: faf50513c3, CPU: user 0.03s system 0.00s, MEM: 5.85 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 36% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v -+ test_always_display star -DEVENT_STAR -+ local subtest=star -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:10$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$always_display.v:10$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-star-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.01s, MEM: 5.79 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 37% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-star-1.v -Parsing Verilog input from `yosys-always_display-star-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star-1.v:18$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-star-1.v:18$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-always_display-star-1.v:18$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-star-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 8979c5de0b, CPU: user 0.02s system 0.00s, MEM: 5.77 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 37% 2x opt_expr (0 sec), 24% 1x clean (0 sec), ... -+ diff yosys-always_display-star-1.v yosys-always_display-star-2.v -+ test_always_display clk_en -DEVENT_CLK -DCOND_EN -+ local subtest=clk_en -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:4$1'. - 1/1: $display$always_display.v:15$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:4$1'. -Removing empty process `m.$proc$always_display.v:4$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_en-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 4f8a3b339c, CPU: user 0.02s system 0.00s, MEM: 7.24 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk_en-1.v -Parsing Verilog input from `yosys-always_display-clk_en-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. - 1/1: $write$yosys-always_display-clk_en-1.v:20$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. -Removing empty process `m.$proc$yosys-always_display-clk_en-1.v:18$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_en-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 51e7fa3902, CPU: user 0.02s system 0.01s, MEM: 5.68 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v -+ test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN -+ local subtest=clk_rst_en -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:7$1'. - 1/1: $display$always_display.v:15$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:7$1'. -Removing empty process `m.$proc$always_display.v:7$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_rst_en-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: f9b4876f33, CPU: user 0.02s system 0.01s, MEM: 7.41 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 38% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst_en-1.v -Parsing Verilog input from `yosys-always_display-clk_rst_en-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. - 1/1: $write$yosys-always_display-clk_rst_en-1.v:20$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. -Removing empty process `m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_rst_en-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.02s system 0.01s, MEM: 7.51 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 38% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v -+ test_always_display star_en -DEVENT_STAR -DCOND_EN -+ local subtest=star_en -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:10$1'. - 1/1: $display$always_display.v:15$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:10$1'. -Removing empty process `m.$proc$always_display.v:10$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-star_en-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: d6a7335726, CPU: user 0.02s system 0.01s, MEM: 7.32 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-star_en-1.v -Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-star_en-1.v:18$1'. - 1/1: $write$yosys-always_display-star_en-1.v:20$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star_en-1.v:18$1'. -Removing empty process `m.$proc$yosys-always_display-star_en-1.v:18$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-star_en-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 18895a2046, CPU: user 0.02s system 0.01s, MEM: 7.32 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 38% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v -+ test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= -+ local subtest=dec_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-dec_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: bfb187b86d, CPU: user 0.02s system 0.00s, MEM: 5.64 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-dec_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 4be9539e85, CPU: user 0.02s system 0.01s, MEM: 5.83 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 19% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned-1 -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned-1 -+ diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log -+ diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log -+ test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed -+ local subtest=dec_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-dec_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: bbdfa5ca92, CPU: user 0.02s system 0.01s, MEM: 5.79 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 31% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_signed-1.v -Parsing Verilog input from `yosys-roundtrip-dec_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: b233de92a6, CPU: user 0.01s system 0.01s, MEM: 5.83 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed-1 -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed-1 -+ diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log -+ diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log -+ test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= -+ local subtest=hex_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-hex_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 2377f2e106, CPU: user 0.02s system 0.00s, MEM: 5.71 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-hex_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 06bfea69c8, CPU: user 0.02s system 0.00s, MEM: 5.79 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned-1 -+ diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log -+ diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log -+ test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed -+ local subtest=hex_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-hex_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 824c3b1e65, CPU: user 0.02s system 0.01s, MEM: 5.76 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_signed-1.v -Parsing Verilog input from `yosys-roundtrip-hex_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: f18b3fa15b, CPU: user 0.02s system 0.01s, MEM: 5.82 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_signed -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_signed-1 -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_signed-1 -+ diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log -+ diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log -+ test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= -+ local subtest=oct_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.01s, MEM: 5.70 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-oct_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 762621cd95, CPU: user 0.02s system 0.00s, MEM: 5.74 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned-1 -+ diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log -+ diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log -+ test_roundtrip oct_signed -DBASE_HEX -DSIGN=signed -+ local subtest=oct_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-oct_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7ec82b15e3, CPU: user 0.02s system 0.00s, MEM: 5.75 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_signed-1.v -Parsing Verilog input from `yosys-roundtrip-oct_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: a747b9bd4f, CPU: user 0.02s system 0.01s, MEM: 5.79 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed-1 -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed-1 -+ diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log -+ diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log -+ test_roundtrip bin_unsigned -DBASE_HEX -DSIGN= -+ local subtest=bin_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-bin_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 270b564880, CPU: user 0.02s system 0.01s, MEM: 5.81 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-bin_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: dc9f56cb10, CPU: user 0.02s system 0.00s, MEM: 5.75 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned-1 -+ diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log -+ diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log -+ test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed -+ local subtest=bin_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-bin_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7709253822, CPU: user 0.02s system 0.01s, MEM: 5.71 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_signed-1.v -Parsing Verilog input from `yosys-roundtrip-bin_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7e2d8271c4, CPU: user 0.02s system 0.00s, MEM: 5.74 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_signed -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_signed-1 -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_signed-1 -+ diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log -+ diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log -+ test_cxxrtl always_full -+ local subtest=always_full -+ shift -+ ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' -- - -1. Executing Verilog-2005 frontend: always_full.v -Parsing Verilog input from `always_full.v' to AST representation. -Generating RTLIL representation for module `\always_full'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 207 redundant assignments. -Promoted 207 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\always_full.$proc$always_full.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `always_full.$proc$always_full.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. -Removed 0 unused cells and 207 unused wires. - -3. Executing CXXRTL backend. - -3.1. Executing HIERARCHY pass (managing design hierarchy). - -3.1.1. Finding top of design hierarchy.. -root of 0 design levels: always_full -Automatically selected always_full as design top module. - -3.1.2. Analyzing design hierarchy.. -Top module: \always_full - -3.1.3. Analyzing design hierarchy.. -Top module: \always_full -Removed 0 unused modules. - -3.2. Executing FLATTEN pass (flatten design). - -3.3. Executing PROC pass (convert processes to netlists). - -3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.3.4. Executing PROC_INIT pass (extract init attributes). - -3.3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - - - -End of script. Logfile hash: 6abd135c0a, CPU: user 0.08s system 0.00s, MEM: 7.17 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 25% 2x read_verilog (0 sec), 22% 2x opt_expr (0 sec), ... -+ gcc -std=c++11 -o yosys-always_full -I../.. always_full_tb.cc -lstdc++ -+ ./yosys-always_full -+ iverilog -o iverilog-always_full always_full.v always_full_tb.v -+ ./iverilog-always_full -+ grep -v '\$finish called' -+ diff iverilog-always_full.log yosys-always_full.log -+ test_cxxrtl always_comb -+ local subtest=always_comb -+ shift -+ ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' -- - -1. Executing Verilog-2005 frontend: always_comb.v -Parsing Verilog input from `always_comb.v' to AST representation. -Generating RTLIL representation for module `\top'. -Generating RTLIL representation for module `\sub'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 4 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). -Found init rule in `\top.$proc$always_comb.v:3$13'. - Set init value: \b = 1'0 -Found init rule in `\top.$proc$always_comb.v:2$12'. - Set init value: \a = 1'0 - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\sub.$proc$always_comb.v:23$15'. - 1/1: $display$always_comb.v:23$19_EN -Creating decoders for process `\top.$proc$always_comb.v:3$13'. -Creating decoders for process `\top.$proc$always_comb.v:2$12'. -Creating decoders for process `\top.$proc$always_comb.v:8$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\top.\a' using process `\top.$proc$always_comb.v:8$1'. - created $dff cell `$procdff$22' with positive edge clock. -Creating register for signal `\top.\b' using process `\top.$proc$always_comb.v:8$1'. - created $dff cell `$procdff$23' with positive edge clock. - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\sub.$proc$always_comb.v:23$15'. -Removing empty process `sub.$proc$always_comb.v:23$15'. -Removing empty process `top.$proc$always_comb.v:3$13'. -Removing empty process `top.$proc$always_comb.v:2$12'. -Removing empty process `top.$proc$always_comb.v:8$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module sub. -Optimizing module top. -Removed 0 unused cells and 7 unused wires. - -3. Executing CXXRTL backend. - -3.1. Executing HIERARCHY pass (managing design hierarchy). - -3.1.1. Finding top of design hierarchy.. -root of 0 design levels: sub -root of 1 design levels: top -Automatically selected top as design top module. - -3.1.2. Analyzing design hierarchy.. -Top module: \top -Used module: \sub - -3.1.3. Analyzing design hierarchy.. -Top module: \top -Used module: \sub -Removed 0 unused modules. - -3.2. Executing FLATTEN pass (flatten design). -Deleting now unused module sub. - - -3.3. Executing PROC pass (convert processes to netlists). - -3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.3.4. Executing PROC_INIT pass (extract init attributes). - -3.3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module top. - - - -End of script. Logfile hash: 03fe26efda, CPU: user 0.03s system 0.00s, MEM: 7.15 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 30% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... -+ gcc -std=c++11 -o yosys-always_comb -I../.. always_comb_tb.cc -lstdc++ -+ ./yosys-always_comb -+ iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v -+ ./iverilog-always_comb -+ grep -v '\$finish called' -+ diff iverilog-always_comb.log yosys-always_comb.log -+ ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog always_full.v; prep; clean' -- - -1. Executing Verilog-2005 frontend: always_full.v -Parsing Verilog input from `always_full.v' to AST representation. -Generating RTLIL representation for module `\always_full'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 207 redundant assignments. -Promoted 207 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\always_full.$proc$always_full.v:3$1'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `always_full.$proc$always_full.v:3$1'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.3. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. -Removed 0 unused cells and 207 unused wires. - - -2.5. Executing CHECK pass (checking for obvious problems). -Checking module always_full... -Found and reported 0 problems. - -2.6. Executing OPT pass (performing simple optimizations). - -2.6.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.6.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\always_full'. -Removed a total of 0 cells. - -2.6.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \always_full.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -2.6.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \always_full. -Performed a total of 0 changes. - -2.6.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\always_full'. -Removed a total of 0 cells. - -2.6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. - -2.6.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.6.8. Finished OPT passes. (There is nothing left to do.) - -2.7. Executing WREDUCE pass (reducing word size of cells). - -2.8. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. - -2.9. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.10. Executing OPT pass (performing simple optimizations). - -2.10.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.10.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\always_full'. -Removed a total of 0 cells. - -2.10.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. - -2.10.4. Finished fast OPT passes. - -2.11. Printing statistics. - -=== always_full === - - Number of wires: 1 - Number of wire bits: 1 - Number of public wires: 1 - Number of public wire bits: 1 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 207 - $print 207 - -2.12. Executing CHECK pass (checking for obvious problems). -Checking module always_full... -Found and reported 0 problems. - --- Writing to `yosys-always_full-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\always_full'. - -End of script. Logfile hash: cfd5b76053, CPU: user 0.21s system 0.01s, MEM: 7.16 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 20% 5x opt_expr (0 sec), 20% 4x opt_clean (0 sec), ... -+ iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v -+ ./iverilog-always_full-1 -+ grep -v '\$finish called' -+ diff iverilog-always_full.log iverilog-always_full-1.log -+ ../../yosys -p 'read_verilog display_lm.v' -+ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' -- - -1. Executing Verilog-2005 frontend: display_lm.v -Parsing Verilog input from `display_lm.v' to AST representation. -Generating RTLIL representation for module `\top'. -Generating RTLIL representation for module `\mid'. -Generating RTLIL representation for module `\bot'. -%l: \bot -%m: \bot -Successfully finished Verilog frontend. - -2. Executing CXXRTL backend. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Finding top of design hierarchy.. -root of 0 design levels: bot -root of 1 design levels: mid -root of 2 design levels: top -Automatically selected top as design top module. - -2.1.2. Analyzing design hierarchy.. -Top module: \top -Used module: \mid -Used module: \bot - -2.1.3. Analyzing design hierarchy.. -Top module: \top -Used module: \mid -Used module: \bot -Removed 0 unused modules. - -2.2. Executing FLATTEN pass (flatten design). -Deleting now unused module bot. -Deleting now unused module mid. - - -2.3. Executing PROC pass (convert processes to netlists). - -2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:0$3'. -Cleaned up 0 empty switches. - -2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.3.4. Executing PROC_INIT pass (extract init attributes). - -2.3.5. Executing PROC_ARST pass (detect async resets in processes). - -2.3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. - -2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. -Cleaned up 0 empty switches. - -2.3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module top. - - - -End of script. Logfile hash: 1b689717a7, CPU: user 0.01s system 0.01s, MEM: 5.77 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 36% 1x opt_expr (0 sec), 14% 2x read_verilog (0 sec), ... -+ gcc -std=c++11 -o yosys-display_lm_cc -I../.. display_lm_tb.cc -lstdc++ -+ ./yosys-display_lm_cc -+ for log in yosys-display_lm.log yosys-display_lm_cc.log -+ grep '^%l: \\bot$' yosys-display_lm.log -%l: \bot -+ grep '^%m: \\bot$' yosys-display_lm.log -%m: \bot -+ for log in yosys-display_lm.log yosys-display_lm_cc.log -+ grep '^%l: \\bot$' yosys-display_lm_cc.log -%l: \bot -+ grep '^%m: \\bot$' yosys-display_lm_cc.log -%m: \bot - - Passed "make test". - -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33' - rm -fr -- /tmp/dh-xdg-rundir-tOAy9iny -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - create-stamp debian/debhelper-build-stamp - dh_prep - rm -f -- debian/yosys.substvars debian/yosys-dev.substvars debian/yosys-abc.substvars debian/yosys-doc.substvars - rm -fr -- debian/.debhelper/generated/yosys/ debian/yosys/ debian/tmp/ debian/.debhelper/generated/yosys-dev/ debian/yosys-dev/ debian/.debhelper/generated/yosys-abc/ debian/yosys-abc/ debian/.debhelper/generated/yosys-doc/ debian/yosys-doc/ - dh_auto_install - install -m0755 -d /build/reproducible-path/yosys-0.33/debian/tmp - make -j3 install DESTDIR=/build/reproducible-path/yosys-0.33/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -[Makefile.conf] CONFIG := gcc -[Makefile.conf] ABCPULL=0 -[Makefile.conf] STRIP=: -mkdir -p /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin -cp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin -: -S /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin/yosys -: /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin/yosys-abc -: /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin/yosys-filterlib -mkdir -p /build/reproducible-path/yosys-0.33/debian/tmp/usr/share/yosys -cp -r share/. /build/reproducible-path/yosys-0.33/debian/tmp/usr/share/yosys/. -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - rm -f debian/yosys-abc.debhelper.log debian/yosys-dev.debhelper.log debian/yosys.debhelper.log - debian/rules execute_after_dh_auto_install -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -chmod a-x debian/tmp/usr/share/yosys/achronix/speedster22i/cells*.v -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - dh_install - install -m0755 -d debian/yosys//usr/bin - cp --reflink=auto -a debian/tmp/usr/bin/yosys debian/tmp/usr/bin/yosys-filterlib debian/tmp/usr/bin/yosys-smtbmc debian/tmp/usr/bin/yosys-witness debian/yosys//usr/bin/ - install -m0755 -d debian/yosys//usr/share/yosys - cp --reflink=auto -a debian/tmp/usr/share/yosys/abc9_map.v debian/tmp/usr/share/yosys/abc9_model.v debian/tmp/usr/share/yosys/abc9_unmap.v debian/tmp/usr/share/yosys/achronix debian/tmp/usr/share/yosys/adff2dff.v debian/tmp/usr/share/yosys/anlogic/ debian/tmp/usr/share/yosys/cells.lib debian/tmp/usr/share/yosys/cmp2lcu.v debian/tmp/usr/share/yosys/cmp2lut.v debian/tmp/usr/share/yosys/coolrunner2 debian/tmp/usr/share/yosys/dff2ff.v debian/tmp/usr/share/yosys/ecp5 debian/tmp/usr/share/yosys/efinix debian/tmp/usr/share/yosys/fabulous debian/tmp/usr/share/yosys/gate2lut.v debian/tmp/usr/share/yosys/gatemate debian/tmp/usr/share/yosys/gowin debian/tmp/usr/share/yosys/greenpak4 debian/tmp/usr/share/yosys/ice40 debian/tmp/usr/share/yosys/intel debian/tmp/usr/share/yosys/intel_alm debian/tmp/usr/share/yosys/lattice debian/tmp/usr/share/yosys/mul2dsp.v debian/tmp/usr/share/yosys/nexus debian/tmp/usr/share/yosys/pmux2mux.v debian/tmp/usr/share/yosys/quicklogic debian/tmp/usr/share/yosys/sf2/ debian/tmp/usr/share/yosys/simcells.v debian/tmp/usr/share/yosys/simlib.v debian/tmp/usr/share/yosys/smtmap.v debian/tmp/usr/share/yosys/techmap.v debian/tmp/usr/share/yosys/xilinx debian/yosys//usr/share/yosys/ - install -m0755 -d debian/yosys/usr/share/yosys - cp --reflink=auto -a ./debian/tmp/usr/share/yosys/python3/smtio.py ./debian/tmp/usr/share/yosys/python3/ywio.py debian/yosys/usr/share/yosys/ - install -m0755 -d debian/yosys-dev//usr/bin - cp --reflink=auto -a debian/tmp/usr/bin/yosys-config debian/yosys-dev//usr/bin/ - install -m0755 -d debian/yosys-dev//usr/share/yosys/include - cp --reflink=auto -a debian/tmp/usr/share/yosys/include/backends debian/tmp/usr/share/yosys/include/frontends debian/tmp/usr/share/yosys/include/kernel debian/tmp/usr/share/yosys/include/libs debian/tmp/usr/share/yosys/include/passes debian/yosys-dev//usr/share/yosys/include/ - install -m0755 -d debian/yosys-abc//usr/bin - cp --reflink=auto -a debian/tmp/usr/bin/yosys-abc debian/yosys-abc//usr/bin/ - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys - cp --reflink=auto -a ./manual/presentation.pdf debian/yosys-doc/usr/share/doc/yosys/ - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys/ - cp --reflink=auto -a ./docs/build/latex/yosyshqyosys.pdf debian/yosys-doc/usr/share/doc/yosys// - dh_installdocs - install -m0755 -d debian/yosys/usr/share/doc/yosys - install -m0755 -d debian/yosys/usr/share/doc/yosys - cp --reflink=auto -a ./README.md debian/yosys/usr/share/doc/yosys - chmod -R u\+rw,go=rX debian/yosys/usr/share/doc - install -p -m0644 debian/copyright debian/yosys/usr/share/doc/yosys/copyright - install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev - install -p -m0644 debian/copyright debian/yosys-dev/usr/share/doc/yosys-dev/copyright - install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc - install -p -m0644 debian/copyright debian/yosys-abc/usr/share/doc/yosys-abc/copyright - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc - install -p -m0644 debian/copyright debian/yosys-doc/usr/share/doc/yosys-doc/copyright - install -m0755 -d debian/yosys-doc/usr/share/doc-base/ - install -p -m0644 debian/yosys-doc.doc-base debian/yosys-doc/usr/share/doc-base/yosys-doc.yosys-manual - dh_installchangelogs - install -m0755 -d debian/yosys/usr/share/doc/yosys - install -p -m0644 debian/.debhelper/generated/yosys/dh_installchangelogs.dch.trimmed debian/yosys/usr/share/doc/yosys/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys/usr/share/doc/yosys/changelog - install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev - install -p -m0644 debian/.debhelper/generated/yosys-dev/dh_installchangelogs.dch.trimmed debian/yosys-dev/usr/share/doc/yosys-dev/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-dev/usr/share/doc/yosys-dev/changelog - install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc - install -p -m0644 debian/.debhelper/generated/yosys-abc/dh_installchangelogs.dch.trimmed debian/yosys-abc/usr/share/doc/yosys-abc/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-abc/usr/share/doc/yosys-abc/changelog - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc - install -p -m0644 debian/.debhelper/generated/yosys-doc/dh_installchangelogs.dch.trimmed debian/yosys-doc/usr/share/doc/yosys-doc/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-doc/usr/share/doc/yosys-doc/changelog - debian/rules execute_before_dh_installman -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -cd debian/man ; CHANGELOG_DATE="" ./genmanpages.sh -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - dh_installman - install -m0755 -d debian/yosys-abc/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/yosys.1 debian/yosys/usr/share/man/man1/yosys.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/yosys-filterlib.1 debian/yosys/usr/share/man/man1/yosys-filterlib.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-smtbmc.1 debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys-witness.1 - install -m0755 -d debian/yosys-dev/usr/share/man/man1/ - install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 - mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 - mv debian/yosys/usr/share/man/man1/yosys-smtbmc.1.dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 - mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys.1 - dh_python3 -D: dh_python3 dh_python3:179: version: 6.20240422 -D: dh_python3 dh_python3:180: argv: ['/usr/bin/dh_python3'] -D: dh_python3 dh_python3:181: options: Namespace(guess_deps=True, skip_private=False, verbose=True, arch=None, package=None, no_package=None, remaining_packages=False, compile_all=False, vrange=None, regexpr=None, accept_upstream_versions=False, depends=None, depends_section=None, recommends=None, recommends_section=None, suggests=None, suggests_section=None, requires=None, shebang=None, ignore_shebangs=False, clean_dbg_pkg=True, no_ext_rename=False, no_shebang_rewrite=False, private_dir=None, O=None) -D: dh_python3 dh_python3:182: supported Python versions: 3.11,3.12 (default=3.11) -D: dh_python3 debhelper:166: skipping package yosys-abc (missing ${python3:Depends} in Depends/Recommends) -D: dh_python3 debhelper:166: skipping package yosys-doc (missing ${python3:Depends} in Depends/Recommends) -D: dh_python3 debhelper:174: source=yosys, binary packages=['yosys', 'yosys-dev'] -D: dh_python3 dh_python3:204: processing package yosys... -D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys): cannot parse binary file -I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-witness -I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc -D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys-filterlib): cannot parse binary file -D: dh_python3 fs:335: package yosys details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': {/usr/bin/python3, /usr/bin/python3}, 'public_vers': set(), 'private_dirs': {'/usr/share/yosys': {'compile': True}}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()} -D: dh_python3 depends:103: generating dependencies for package yosys -D: dh_python3 depends:253: D={'python3:any'}; R=[]; S=[]; E=[], B=[]; RT=[('/usr/share/yosys', '')] -D: dh_python3 dh_python3:204: processing package yosys-dev... -D: dh_python3 tools:101: fix_shebang (debian/yosys-dev/usr/bin/yosys-config): doesn't look like a shebang: #!/usr/bin/env bash -D: dh_python3 fs:335: package yosys-dev details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': set(), 'public_vers': set(), 'private_dirs': {}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()} -D: dh_python3 depends:103: generating dependencies for package yosys-dev -D: dh_python3 depends:253: D=set(); R=[]; S=[]; E=[], B=[]; RT=[] - dh_perl - dh_link - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys - rm -f debian/yosys-doc/usr/share/doc/yosys/manual.pdf - ln -s yosyshqyosys.pdf debian/yosys-doc/usr/share/doc/yosys/manual.pdf - dh_strip_nondeterminism - debian/rules override_dh_compress -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -dh_compress --exclude=.pdf - cd debian/yosys - cd debian/yosys-abc - chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - gzip -9nf usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - cd '/build/reproducible-path/yosys-0.33' - cd debian/yosys-doc - cd '/build/reproducible-path/yosys-0.33' - cd debian/yosys-dev - chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian - gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian - chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 - gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 - cd '/build/reproducible-path/yosys-0.33' - cd '/build/reproducible-path/yosys-0.33' -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - dh_fixperms - find debian/yosys ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-abc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-abc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-abc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-abc/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-abc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-abc/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - find debian/yosys-doc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - find debian/yosys-dev ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-doc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-doc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-doc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - dh_missing - dh_dwz -a - dwz -- debian/yosys-abc/usr/bin/yosys-abc - install -m0755 -d debian/yosys/usr/lib/debug/.dwz/arm-linux-gnueabihf - dwz -mdebian/yosys/usr/lib/debug/.dwz/arm-linux-gnueabihf/yosys.debug -M/usr/lib/debug/.dwz/arm-linux-gnueabihf/yosys.debug -- debian/yosys/usr/bin/yosys debian/yosys/usr/bin/yosys-filterlib -dwz: Too few files for multifile optimization -dh_dwz: warning: No dwz multifile created, but not explicitly requested either so ignoring it. -dh_dwz: warning: Common issues include no debug information at all (missing -g) and -dh_dwz: warning: compressed debug information (#931891). - rmdir -p --ignore-fail-on-non-empty debian/yosys/usr/lib/debug/.dwz/arm-linux-gnueabihf - dh_strip -a - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/b3 - objcopy --only-keep-debug --compress-debug-sections debian/yosys-abc/usr/bin/yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/b3/2c2cac73e364d2bd2cf8afc0d1c34219cf4ee8.debug - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/10 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/10/d1e538d0b998a53f55bc37168396155e58c98c.debug - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/b3/2c2cac73e364d2bd2cf8afc0d1c34219cf4ee8.debug - strip --remove-section=.comment --remove-section=.note debian/yosys-abc/usr/bin/yosys-abc - objcopy --add-gnu-debuglink debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/b3/2c2cac73e364d2bd2cf8afc0d1c34219cf4ee8.debug debian/yosys-abc/usr/bin/yosys-abc - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/share/doc - ln -s yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/share/doc/yosys-abc-dbgsym - install -m0755 -d debian/.debhelper/yosys-abc - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/10/d1e538d0b998a53f55bc37168396155e58c98c.debug - strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys - objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/10/d1e538d0b998a53f55bc37168396155e58c98c.debug debian/yosys/usr/bin/yosys - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/38 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/38/916fc231ab984a961dadeb0ae00348a37550fd.debug - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/38/916fc231ab984a961dadeb0ae00348a37550fd.debug - strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib - objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/38/916fc231ab984a961dadeb0ae00348a37550fd.debug debian/yosys/usr/bin/yosys-filterlib - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/share/doc - ln -s yosys debian/.debhelper/yosys/dbgsym-root/usr/share/doc/yosys-dbgsym - install -m0755 -d debian/.debhelper/yosys - dh_makeshlibs -a - rm -f debian/yosys/DEBIAN/shlibs - rm -f debian/yosys-dev/DEBIAN/shlibs - rm -f debian/yosys-abc/DEBIAN/shlibs - dh_shlibdeps -a - install -m0755 -d debian/yosys-abc/DEBIAN - dpkg-shlibdeps -Tdebian/yosys-abc.substvars debian/yosys-abc/usr/bin/yosys-abc - install -m0755 -d debian/yosys/DEBIAN - dpkg-shlibdeps -Tdebian/yosys.substvars debian/yosys/usr/bin/yosys debian/yosys/usr/bin/yosys-filterlib -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 from: /lib/arm-linux-gnueabihf/libreadline.so.8 -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 to: /lib/arm-linux-gnueabihf/libreadline.so.8.usr-is-merged -dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys-filterlib contains an unresolvable reference to symbol __aeabi_atexit@GLIBC_2.4: it's probably a plugin -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 from: /lib/arm-linux-gnueabihf/libreadline.so.8 -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 to: /lib/arm-linux-gnueabihf/libreadline.so.8.usr-is-merged -dpkg-shlibdeps: warning: debian/yosys/usr/bin/yosys contains an unresolvable reference to symbol __aeabi_atexit@GLIBC_2.4: it's probably a plugin -dpkg-shlibdeps: warning: debian/yosys-abc/usr/bin/yosys-abc contains an unresolvable reference to symbol __aeabi_atexit@GLIBC_2.4: it's probably a plugin - dh_installdeb - install -m0755 -d debian/yosys/DEBIAN - printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/postinst - cat debian/yosys.postinst.debhelper >> debian/yosys/DEBIAN/postinst - chmod 0755 -- debian/yosys/DEBIAN/postinst - printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/prerm - cat debian/yosys.prerm.debhelper >> debian/yosys/DEBIAN/prerm - chmod 0755 -- debian/yosys/DEBIAN/prerm - install -m0755 -d debian/yosys-dev/DEBIAN - install -m0755 -d debian/yosys-abc/DEBIAN - install -m0755 -d debian/yosys-doc/DEBIAN - dh_gencontrol - install -m0755 -d debian/yosys-abc/DEBIAN - echo misc:Depends= >> debian/yosys-abc.substvars - echo misc:Pre-Depends= >> debian/yosys-abc.substvars - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN - dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/.debhelper/yosys-abc/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-abc-dbgsym "-DDepends=yosys-abc (= \${binary:Version})" "-DDescription=debug symbols for yosys-abc" -DBuild-Ids=b32c2cac73e364d2bd2cf8afc0d1c34219cf4ee8 -DSection=debug -UMulti-Arch -UReplaces -UBreaks - install -m0755 -d debian/yosys/DEBIAN - echo misc:Depends= >> debian/yosys.substvars - echo misc:Pre-Depends= >> debian/yosys.substvars - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN - dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/.debhelper/yosys/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-dbgsym "-DDepends=yosys (= \${binary:Version})" "-DDescription=debug symbols for yosys" "-DBuild-Ids=10d1e538d0b998a53f55bc37168396155e58c98c 38916fc231ab984a961dadeb0ae00348a37550fd" -DSection=debug -UMulti-Arch -UReplaces -UBreaks - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/yosys - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/yosys-abc - chmod 0644 -- debian/yosys/DEBIAN/control - install -m0755 -d debian/yosys-dev/DEBIAN - echo misc:Depends= >> debian/yosys-dev.substvars - echo misc:Pre-Depends= >> debian/yosys-dev.substvars - dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -cdebian/control -Pdebian/yosys-dev - chmod 0644 -- debian/yosys-abc/DEBIAN/control - install -m0755 -d debian/yosys-doc/DEBIAN - echo misc:Depends= >> debian/yosys-doc.substvars - echo misc:Pre-Depends= >> debian/yosys-doc.substvars - dpkg-gencontrol -pyosys-doc -ldebian/changelog -Tdebian/yosys-doc.substvars -cdebian/control -Pdebian/yosys-doc -dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined -dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined - chmod 0644 -- debian/yosys-dev/DEBIAN/control - chmod 0644 -- debian/yosys-doc/DEBIAN/control - dh_md5sums - install -m0755 -d debian/yosys/DEBIAN - install -m0755 -d debian/yosys-abc/DEBIAN - cd debian/yosys >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/yosys-abc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/yosys-abc/DEBIAN/md5sums - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN - chmod 0644 -- debian/yosys/DEBIAN/md5sums - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN - cd debian/.debhelper/yosys-abc/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/.debhelper/yosys/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/md5sums - install -m0755 -d debian/yosys-doc/DEBIAN - cd debian/yosys-doc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/yosys-doc/DEBIAN/md5sums - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/md5sums - install -m0755 -d debian/yosys-dev/DEBIAN - cd debian/yosys-dev >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/yosys-dev/DEBIAN/md5sums - dh_builddeb - dpkg-deb --root-owner-group --build debian/yosys .. - dpkg-deb --root-owner-group --build debian/yosys-dev .. - dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root .. -dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.33-5_armhf.deb'. -dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.33-5_armhf.deb'. -dpkg-deb: building package 'yosys' in '../yosys_0.33-5_armhf.deb'. - dpkg-deb --root-owner-group --build debian/yosys-abc .. -dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.33-5_armhf.deb'. - dpkg-deb --root-owner-group --build debian/.debhelper/yosys/dbgsym-root .. -dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.33-5_armhf.deb'. - dpkg-deb --root-owner-group --build debian/yosys-doc .. -dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.33-5_all.deb'. - dpkg-genbuildinfo --build=binary -O../yosys_0.33-5_armhf.buildinfo - dpkg-genchanges --build=binary -O../yosys_0.33-5_armhf.changes -dpkg-genchanges: info: binary-only upload (no source code included) - dpkg-source --after-build . -dpkg-source: info: using options from yosys-0.33/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$ -dpkg-buildpackage: info: binary-only upload (no source included) -dpkg-genchanges: info: not including original source code in upload +make: *** [debian/rules:12: binary] Error 2 +dpkg-buildpackage: error: debian/rules binary subprocess returned exit status 2 I: copying local configuration +E: Failed autobuilding of package +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/C01_cleanup starting +debug output: disk usage on i-capture-the-hostname at Mon May 6 03:12:53 UTC 2024 +Filesystem Size Used Avail Use% Mounted on +tmpfs 7.7G 0 7.7G 0% /dev/shm + +I: user script /srv/workspace/pbuilder/12355/tmp/hooks/C01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/27830 and its subdirectories -I: Current time: Sun May 5 14:20:25 -12 2024 -I: pbuilder-time-stamp: 1714962025 +I: removing directory /srv/workspace/pbuilder/12355 and its subdirectories