Diff of the two buildlogs: -- --- b1/build.log 2024-06-09 01:12:23.996226523 +0000 +++ b2/build.log 2024-06-09 02:10:04.881165099 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Sat Jun 8 13:07:52 -12 2024 -I: pbuilder-time-stamp: 1717895272 +I: Current time: Sun Jun 9 15:13:09 +14 2024 +I: pbuilder-time-stamp: 1717895589 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/unstable-reproducible-base.tgz] I: copying local configuration @@ -30,52 +30,84 @@ dpkg-source: info: applying 0004-Fix-up5k_rgb-failing-timing-analysis.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/20876/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/D01_modify_environment starting +debug: Running on ff64a. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 Jun 9 01:14 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='armhf' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=3 ' - DISTRIBUTION='unstable' - HOME='/root' - HOST_ARCH='armhf' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="21" [3]="1" [4]="release" [5]="arm-unknown-linux-gnueabihf") + BASH_VERSION='5.2.21(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=armhf + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=6 ' + DIRSTACK=() + DISTRIBUTION=unstable + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=arm + HOST_ARCH=armhf IFS=' ' - INVOCATION_ID='4e9b734273404b7d8df9bd16d1376689' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='20876' - PS1='# ' - PS2='> ' + INVOCATION_ID=c3910be542d042beb3e8ef0d4f05661b + LANG=C + LANGUAGE=it_CH:it + LC_ALL=C + MACHTYPE=arm-unknown-linux-gnueabihf + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnueabihf + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=24918 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.bx6cdqMi/pbuilderrc_15mM --distribution unstable --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.bx6cdqMi/b1 --logfile b1/build.log fpga-icestorm_0~20230218gitd20a5e9-1.1.dsc' - SUDO_GID='110' - SUDO_UID='103' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://10.0.0.15:3142/' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.bx6cdqMi/pbuilderrc_4OF6 --distribution unstable --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/unstable-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.bx6cdqMi/b2 --logfile b2/build.log fpga-icestorm_0~20230218gitd20a5e9-1.1.dsc' + SUDO_GID=114 + SUDO_UID=109 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://10.0.0.15:3142/ I: uname -a - Linux virt32z 6.1.0-21-armmp-lpae #1 SMP Debian 6.1.90-1 (2024-05-03) armv7l GNU/Linux + Linux i-capture-the-hostname 6.1.0-21-arm64 #1 SMP Debian 6.1.90-1 (2024-05-03) aarch64 GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 Jun 6 07:42 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/20876/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 Jun 5 22:35 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -175,7 +207,7 @@ Get: 52 http://deb.debian.org/debian unstable/main armhf pkg-config armhf 1.8.1-1+b2 [14.0 kB] Get: 53 http://deb.debian.org/debian unstable/main armhf systemd-dev all 256~rc4-1 [65.5 kB] Get: 54 http://deb.debian.org/debian unstable/main armhf txt2man all 1.7.1-4 [35.4 kB] -Fetched 24.8 MB in 0s (52.3 MB/s) +Fetched 24.8 MB in 3s (7439 kB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package readline-common. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19462 files and directories currently installed.) @@ -372,8 +404,8 @@ Setting up tzdata (2024a-4) ... Current default time zone: 'Etc/UTC' -Local time is now: Sun Jun 9 01:08:28 UTC 2024. -Universal Time is now: Sun Jun 9 01:08:28 UTC 2024. +Local time is now: Sun Jun 9 01:17:27 UTC 2024. +Universal Time is now: Sun Jun 9 01:17:27 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up autotools-dev (20220109.1) ... @@ -419,7 +451,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../fpga-icestorm_0~20230218gitd20a5e9-1.1_source.changes +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for unstable +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../fpga-icestorm_0~20230218gitd20a5e9-1.1_source.changes dpkg-buildpackage: info: source package fpga-icestorm dpkg-buildpackage: info: source version 0~20230218gitd20a5e9-1.1 dpkg-buildpackage: info: source distribution unstable @@ -431,7 +467,7 @@ debian/rules override_dh_auto_clean make[1]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9' dh_auto_clean - make -j3 clean + make -j6 clean make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9' for dir in icebox icepack icemulti icepll icebram icetime iceprog; do \ make -C $dir clean || exit; \ @@ -504,17 +540,26 @@ dh_autoreconf dh_auto_configure dh_auto_build - make -j3 "INSTALL=install --strip-program=true" + make -j6 "INSTALL=install --strip-program=true" make[1]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9' make -C icebox all make -C icepack all -make -C icemulti all make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icebox' +make -C icemulti all +make -C icepll all make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icepack' g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icepack.o icepack.cc +make -C icebram all +make -C icetime all make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icemulti' g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icemulti.o icemulti.cc python3 icebox_chipdb.py -3 > chipdb-384.new +make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icepll' +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icepll.o icepll.cc +make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icebram' +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icebram.o icebram.cc +make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icetime' +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icetime.o icetime.cc icepack.cc: In member function 'void FpgaConfig::write_ascii(std::ostream&) const': icepack.cc:53:41: warning: format '%lu' expects argument of type 'long unsigned int', but argument 6 has type 'std::vector >::size_type' {aka 'unsigned int'} [-Wformat=] 53 | #define error(...) do { fprintf(stderr, "Error: " __VA_ARGS__); exit(1); } while (0) @@ -564,16 +609,11 @@ | | | long unsigned int | %u -g++ -o icemulti -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icemulti.o -lm -lstdc++ -make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icemulti' -make -C icepll all -make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icepll' -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icepll.o icepll.cc g++ -o icepll -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icepll.o -lm -lstdc++ make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icepll' -make -C icebram all -make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icebram' -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icebram.o icebram.cc +make -C iceprog all +make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/iceprog' +cc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c99 -I/usr/local/include -MD -MP -O2 -Wall -std=c99 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o iceprog.o iceprog.c icebram.cc: In function 'int update(app_opts*)': icebram.cc:460:74: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'size_t' {aka 'unsigned int'} [-Wformat=] 460 | fprintf(stderr, "Padding to_hexfile words from %lu bits to %lu bits\n", @@ -697,23 +737,12 @@ | | | | long unsigned int size_t {aka unsigned int} | %u -mv chipdb-384.new chipdb-384.txt -python3 icebox_chipdb.py > chipdb-1k.new -g++ -o icepack -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icepack.o -lm -lstdc++ -ln -sf icepack iceunpack -make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icepack' -make -C icetime all -make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icetime' -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o icetime.o icetime.cc -g++ -o icebram -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icebram.o -lm -lstdc++ -make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icebram' -make -C iceprog all -make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/iceprog' -cc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c99 -I/usr/local/include -MD -MP -O2 -Wall -std=c99 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o iceprog.o iceprog.c +g++ -o icemulti -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icemulti.o -lm -lstdc++ +make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icemulti' cc -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c99 -I/usr/local/include -MD -MP -O2 -Wall -std=c99 -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o mpsse.o mpsse.c +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o iceutil.o iceutil.cc cc -o iceprog -Wl,-z,relro -Wl,-z,now -Wl,--as-needed iceprog.o mpsse.o -lm -lstdc++ -lftdi make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/iceprog' -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o iceutil.o iceutil.cc python3 timings.py lp384 > timings-lp384.cc python3 timings.py lp1k > timings-lp1k.cc python3 timings.py lp8k > timings-lp8k.cc @@ -723,18 +752,28 @@ python3 timings.py u4k > timings-u4k.cc g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-lp384.o timings-lp384.cc g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-lp1k.o timings-lp1k.cc +mv chipdb-384.new chipdb-384.txt +python3 icebox_chipdb.py > chipdb-1k.new g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-lp8k.o timings-lp8k.cc g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-hx1k.o timings-hx1k.cc -mv chipdb-1k.new chipdb-1k.txt +g++ -o icebram -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icebram.o -lm -lstdc++ +make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icebram' +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-hx8k.o timings-hx8k.cc +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-up5k.o timings-up5k.cc +g++ -o icepack -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icepack.o -lm -lstdc++ +ln -sf icepack iceunpack +make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icepack' +g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-u4k.o timings-u4k.cc python3 icebox_chipdb.py -8 > chipdb-8k.new +python3 icebox_chipdb.py -5 > chipdb-5k.new In file included from /usr/include/c++/13/vector:72, from icetime.cc:36: /usr/include/c++/13/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::pair, std::allocator > >}; _Tp = std::pair >; _Alloc = std::allocator > >]': /usr/include/c++/13/bits/vector.tcc:445:7: note: parameter passing for argument of type 'std::vector > >::iterator' changed in GCC 7.1 445 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-hx8k.o timings-hx8k.cc -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-up5k.o timings-up5k.cc +mv chipdb-1k.new chipdb-1k.txt +python3 icebox_chipdb.py -4 > chipdb-lm4k.new In file included from /usr/include/c++/13/map:62, from icetime.cc:31: /usr/include/c++/13/bits/stl_tree.h: In function 'std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::iterator std::_Rb_tree<_Key, _Val, _KeyOfValue, _Compare, _Alloc>::_M_emplace_hint_unique(const_iterator, _Args&& ...) [with _Args = {const std::piecewise_construct_t&, std::tuple, std::allocator >&>, std::tuple<>}; _Key = std::__cxx11::basic_string; _Val = std::pair, std::tuple, std::allocator >, std::__cxx11::basic_string, std::allocator > > >; _KeyOfValue = std::_Select1st, std::tuple, std::allocator >, std::__cxx11::basic_string, std::allocator > > > >; _Compare = std::less >; _Alloc = std::allocator, std::tuple, std::allocator >, std::__cxx11::basic_string, std::allocator > > > >]': @@ -783,17 +822,14 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 515 | std::tuple<>()); | ~~~~~~~~~~~~~~~ -g++ -g -O2 -ffile-prefix-map=/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9=. -fstack-protector-strong -fstack-clash-protection -Wformat -Werror=format-security -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -MD -MP -O2 -Wall -std=c++11 -I/usr/local/include -DPREFIX='"/usr/local"' -DCHIPDB_SUBDIR='"fpga-icestorm/chipdb"' -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -D_TIME_BITS=64 -Wdate-time -D_FORTIFY_SOURCE=2 -c -o timings-u4k.o timings-u4k.cc -python3 icebox_chipdb.py -5 > chipdb-5k.new -mv chipdb-8k.new chipdb-8k.txt -python3 icebox_chipdb.py -4 > chipdb-lm4k.new -mv chipdb-5k.new chipdb-5k.txt python3 icebox_chipdb.py -u > chipdb-u4k.new mv chipdb-lm4k.new chipdb-lm4k.txt -g++ -o icetime -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icetime.o iceutil.o timings-lp384.o timings-lp1k.o timings-lp8k.o timings-hx1k.o timings-hx8k.o timings-up5k.o timings-u4k.o -lm -lstdc++ -make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icetime' +mv chipdb-5k.new chipdb-5k.txt mv chipdb-u4k.new chipdb-u4k.txt +mv chipdb-8k.new chipdb-8k.txt make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icebox' +g++ -o icetime -Wl,-z,relro -Wl,-z,now -Wl,--as-needed icetime.o iceutil.o timings-lp384.o timings-lp1k.o timings-lp8k.o timings-hx1k.o timings-hx8k.o timings-up5k.o timings-u4k.o -lm -lstdc++ +make[2]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9/icetime' make[1]: Leaving directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9' dh_auto_test create-stamp debian/debhelper-build-stamp @@ -801,7 +837,7 @@ debian/rules override_dh_auto_install make[1]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9' PREFIX=/usr dh_auto_install - make -j3 install DESTDIR=/build/reproducible-path/fpga-icestorm-0\~20230218gitd20a5e9/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + make -j6 install DESTDIR=/build/reproducible-path/fpga-icestorm-0\~20230218gitd20a5e9/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/reproducible-path/fpga-icestorm-0~20230218gitd20a5e9' for dir in icebox icepack icemulti icepll icebram icetime iceprog; do \ make -C $dir install || exit; \ @@ -913,8 +949,8 @@ dh_gencontrol dh_md5sums dh_builddeb -dpkg-deb: building package 'fpga-icestorm-chipdb' in '../fpga-icestorm-chipdb_0~20230218gitd20a5e9-1.1_all.deb'. dpkg-deb: building package 'fpga-icestorm' in '../fpga-icestorm_0~20230218gitd20a5e9-1.1_armhf.deb'. +dpkg-deb: building package 'fpga-icestorm-chipdb' in '../fpga-icestorm-chipdb_0~20230218gitd20a5e9-1.1_all.deb'. dpkg-deb: building package 'fpga-icestorm-dbgsym' in '../fpga-icestorm-dbgsym_0~20230218gitd20a5e9-1.1_armhf.deb'. dpkg-genbuildinfo --build=binary -O../fpga-icestorm_0~20230218gitd20a5e9-1.1_armhf.buildinfo dpkg-genchanges --build=binary -O../fpga-icestorm_0~20230218gitd20a5e9-1.1_armhf.changes @@ -923,12 +959,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: not including original source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/24918/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/20876 and its subdirectories -I: Current time: Sat Jun 8 13:12:19 -12 2024 -I: pbuilder-time-stamp: 1717895539 +I: removing directory /srv/workspace/pbuilder/24918 and its subdirectories +I: Current time: Sun Jun 9 16:09:58 +14 2024 +I: pbuilder-time-stamp: 1717898998