Diff of the two buildlogs: -- --- b1/build.log 2024-05-15 15:08:19.691838544 +0000 +++ b2/build.log 2024-05-15 15:39:09.597242152 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Tue Jun 17 09:10:46 -12 2025 -I: pbuilder-time-stamp: 1750194646 +I: Current time: Thu May 16 05:08:24 +14 2024 +I: pbuilder-time-stamp: 1715785704 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/trixie-reproducible-base.tgz] I: copying local configuration @@ -49,54 +49,86 @@ dpkg-source: info: applying 0026-Quiet-write-rst-command-ref-manual.patch I: Not using root during the build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/24632/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D01_modify_environment starting +debug: Running on ionos12-i386. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +'/bin/sh' -> '/bin/bash' +lrwxrwxrwx 1 root root 9 May 15 15:08 /bin/sh -> /bin/bash +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build/reproducible-path' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='i386' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=22 ' - DISTRIBUTION='trixie' - HOME='/root' - HOST_ARCH='i386' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:globskipdots:hostcomplete:interactive_comments:patsub_replacement:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_LOADABLES_PATH=/usr/local/lib/bash:/usr/lib/bash:/opt/local/lib/bash:/usr/pkg/lib/bash:/opt/pkg/lib/bash:. + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="2" [2]="21" [3]="1" [4]="release" [5]="i686-pc-linux-gnu") + BASH_VERSION='5.2.21(1)-release' + BUILDDIR=/build/reproducible-path + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=i386 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=10 ' + DIRSTACK=() + DISTRIBUTION=trixie + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=i686 + HOST_ARCH=i386 IFS=' ' - INVOCATION_ID='f96951f5be74457090da5e3195e123f4' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - LD_LIBRARY_PATH='/usr/lib/libeatmydata' - LD_PRELOAD='libeatmydata.so' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='24632' - PS1='# ' - PS2='> ' + INVOCATION_ID=24cd4f410f9a4d11a49d79df15a5186e + LANG=C + LANGUAGE=de_CH:de + LC_ALL=C + LD_LIBRARY_PATH=/usr/lib/libeatmydata + LD_PRELOAD=libeatmydata.so + MACHTYPE=i686-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=29600 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.B9OZrfoY/pbuilderrc_I6Pc --distribution trixie --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.B9OZrfoY/b1 --logfile b1/build.log yosys_0.33-5.dsc' - SUDO_GID='112' - SUDO_UID='107' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://213.165.73.152:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.B9OZrfoY/pbuilderrc_z1Lw --distribution trixie --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/trixie-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.B9OZrfoY/b2 --logfile b2/build.log yosys_0.33-5.dsc' + SUDO_GID=112 + SUDO_UID=107 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://46.16.76.132:3128 I: uname -a - Linux ionos6-i386 6.1.0-21-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.90-1 (2024-05-03) x86_64 GNU/Linux + Linux i-capture-the-hostname 6.1.0-21-amd64 #1 SMP PREEMPT_DYNAMIC Debian 6.1.90-1 (2024-05-03) x86_64 GNU/Linux I: ls -l /bin - lrwxrwxrwx 1 root root 7 Jun 16 17:47 /bin -> usr/bin -I: user script /srv/workspace/pbuilder/24632/tmp/hooks/D02_print_environment finished + lrwxrwxrwx 1 root root 7 May 13 11:26 /bin -> usr/bin +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -436,7 +468,7 @@ Get: 238 http://deb.debian.org/debian trixie/main i386 texlive-publishers all 2023.20240207-1 [22.6 MB] Get: 239 http://deb.debian.org/debian trixie/main i386 texlive-science all 2023.20240207-1 [3804 kB] Get: 240 http://deb.debian.org/debian trixie/main i386 txt2man all 1.7.1-4 [35.4 kB] -Fetched 1044 MB in 19s (55.9 MB/s) +Fetched 1044 MB in 25s (41.8 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19684 files and directories currently installed.) @@ -1218,8 +1250,8 @@ Setting up tzdata (2024a-4) ... Current default time zone: 'Etc/UTC' -Local time is now: Tue Jun 17 21:12:10 UTC 2025. -Universal Time is now: Tue Jun 17 21:12:10 UTC 2025. +Local time is now: Wed May 15 15:11:17 UTC 2024. +Universal Time is now: Wed May 15 15:11:17 UTC 2024. Run 'dpkg-reconfigure tzdata' if you wish to change it. Setting up liberror-perl (0.17029-2) ... @@ -1455,7 +1487,11 @@ Building tag database... -> Finished parsing the build-deps I: Building the package -I: Running cd /build/reproducible-path/yosys-0.33/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.33-5_source.changes +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/A99_set_merged_usr starting +Not re-configuring usrmerge for trixie +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/A99_set_merged_usr finished +hostname: Name or service not known +I: Running cd /build/reproducible-path/yosys-0.33/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.33-5_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.33-5 dpkg-buildpackage: info: source distribution unstable @@ -1466,7 +1502,7 @@ debian/rules clean PREFIX=/usr dh clean --with=python3 dh_auto_clean - make -j22 clean + make -j10 clean make[1]: Entering directory '/build/reproducible-path/yosys-0.33' rm -rf share rm -rf kernel/*.pyh @@ -1549,60 +1585,60 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/reproducible-path/yosys-0.33' dh_auto_build -- all - make -j22 "INSTALL=install --strip-program=true" all + make -j10 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/reproducible-path/yosys-0.33' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ -mkdir -p techlibs/common mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.33 (git sha1 2584903a060)\"; }" > kernel/version_2584903a060.cc gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new -mkdir -p kernel/ -mkdir -p kernel/ +mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ -mkdir -p kernel/ gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc +mkdir -p kernel/ +mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc -gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc -mkdir -p kernel/ mkdir -p kernel/ +gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc -gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ +mkdir -p kernel/ +gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ -mkdir -p kernel/ gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc +mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ gcc -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/yw.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ -mkdir -p kernel/ gcc -o kernel/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc -mkdir -p libs/bigint/ +mkdir -p kernel/ gcc -o kernel/fmt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc +mkdir -p kernel/ gcc -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc +mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p libs/bigint/ -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc @@ -1622,19 +1658,6 @@ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc -In file included from libs/minisat/Alg.h:24, - from libs/minisat/Solver.cc:29: -libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': -libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' -libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' -libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' -libs/minisat/Solver.cc:134:19: required from here -libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] - 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) - | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here - 39 | class vec { - | ^~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': @@ -1648,6 +1671,19 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ +In file included from libs/minisat/Alg.h:24, + from libs/minisat/Solver.cc:29: +libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': +libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' +libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' +libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' +libs/minisat/Solver.cc:134:19: required from here +libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] + 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) + | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here + 39 | class vec { + | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ @@ -1655,8 +1691,8 @@ mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ -mkdir -p frontends/aiger/ gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc +mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc @@ -1668,6 +1704,17 @@ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc +frontends/ast/simplify.cc: In member function 'void Yosys::AST::AstNode::annotateTypedEnums(Yosys::AST::AstNode*)': +frontends/ast/simplify.cc:118:71: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector::size_type' {aka 'unsigned int'} [-Wformat=] + 118 | log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n", + | ~~^ + | | + | long unsigned int + | %u + 119 | enum_item->children.size(), + | ~~~~~~~~~~~~~~~~~~~~~~~~~~ + | | + | std::vector::size_type {aka unsigned int} mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ @@ -1676,6 +1723,25 @@ gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc +kernel/satgen.cc: In member function 'Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': +kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] + 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); + | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here + 1231 | int undef_srst; + | ^~~~~~~~~~ +kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] + 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); + | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here + 1245 | int undef_ce; + | ^~~~~~~~ +kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] + 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); + | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here + 1259 | int undef_srst; + | ^~~~~~~~~~ mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ @@ -1686,17 +1752,6 @@ gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y -frontends/ast/simplify.cc: In member function 'void Yosys::AST::AstNode::annotateTypedEnums(Yosys::AST::AstNode*)': -frontends/ast/simplify.cc:118:71: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector::size_type' {aka 'unsigned int'} [-Wformat=] - 118 | log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n", - | ~~^ - | | - | long unsigned int - | %u - 119 | enum_item->children.size(), - | ~~~~~~~~~~~~~~~~~~~~~~~~~~ - | | - | std::vector::size_type {aka unsigned int} mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ @@ -1779,25 +1834,6 @@ gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc -kernel/satgen.cc: In member function 'Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': -kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] - 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); - | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here - 1231 | int undef_srst; - | ^~~~~~~~~~ -kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] - 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); - | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here - 1245 | int undef_ce; - | ^~~~~~~~ -kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] - 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); - | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here - 1259 | int undef_srst; - | ^~~~~~~~~~ mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ @@ -1809,8 +1845,8 @@ mkdir -p passes/cmds/ gcc -o passes/cmds/xprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/equiv/ -mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc +mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc @@ -1867,8 +1903,8 @@ mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ -mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc +mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc @@ -1880,16 +1916,6 @@ gcc -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc -mkdir -p passes/opt/ -gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc -mkdir -p passes/opt/ -gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc -mkdir -p passes/opt/ -gcc -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc -mkdir -p passes/opt/ -gcc -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc -mkdir -p passes/opt/ -gcc -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc passes/memory/memory_libmap.cc: In member function 'void {anonymous}::MemMapping::assign_wr_ports()': passes/memory/memory_libmap.cc:650:76: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector<{anonymous}::MemConfig>::size_type' {aka 'unsigned int'} [-Wformat=] 650 | log_reject(stringf("Assigning write ports... (candidate configs: %lu)", cfgs.size())); @@ -1915,6 +1941,16 @@ | long unsigned int | %u mkdir -p passes/opt/ +gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc +mkdir -p passes/opt/ +gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc +mkdir -p passes/opt/ +gcc -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc +mkdir -p passes/opt/ +gcc -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc +mkdir -p passes/opt/ +gcc -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc +mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc @@ -1992,8 +2028,8 @@ mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ -mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc +mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc @@ -2017,10 +2053,6 @@ gcc -o passes/sat/recover_names.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc -mkdir -p passes/sat/ -gcc -o passes/sat/synthprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc -mkdir -p passes/techmap/ -gcc -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc In file included from ./kernel/yosys.h:300, from passes/sat/recover_names.cc:20: passes/sat/recover_names.cc: In member function 'void {anonymous}::RecoverNamesWorker::analyse_mod(Yosys::RTLIL::Module*)': @@ -2038,6 +2070,10 @@ | | | long unsigned int | %016llx +mkdir -p passes/sat/ +gcc -o passes/sat/synthprop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc +mkdir -p passes/techmap/ +gcc -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ @@ -2243,16 +2279,16 @@ -> ABC: Using CXX=gcc -> ABC: Using AR=ar -> ABC: Using LD=gcc +mkdir -p passes/techmap/ -> ABC: Compiling in namespace -> ABC: Compiling with CUDD -> ABC: Using libreadline -> ABC: Using pthreads -> ABC: Found GCC_VERSION 13 +gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc -> ABC: Found GCC_MAJOR>=5 -> ABC: Using explicit -lstdc++ -> ABC: Using CFLAGS=-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable -mkdir -p passes/techmap/ -gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc -> ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c ./depends.sh "gcc" `dirname src/bdd/llb/llb4Sweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -2265,21 +2301,6 @@ -> ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c ./depends.sh "gcc" `dirname src/bdd/llb/llb4Cex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c -./depends.sh "gcc" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c -./depends.sh "gcc" `dirname src/bdd/llb/llb3Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c -./depends.sh "gcc" `dirname src/bdd/llb/llb2Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c -./depends.sh "gcc" `dirname src/bdd/llb/llb2Flow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c -./depends.sh "gcc" `dirname src/bdd/llb/llb2Dump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc @@ -2290,80 +2311,83 @@ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h --> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c -./depends.sh "gcc" `dirname src/bdd/llb/llb2Driver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h +-> ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c +./depends.sh "gcc" `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ -mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc -cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h +-> ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c +./depends.sh "gcc" `dirname src/bdd/llb/llb3Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d mkdir -p share/include/kernel/ +cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/kernel/modtools.h share/include/kernel/modtools.h -cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ +cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h -cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ +cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h -cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ +cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h -cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ +cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h +mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/kernel/ -mkdir -p share/include/libs/ezsat/ +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c cp "./"/kernel/json.h share/include/kernel/json.h +./depends.sh "gcc" `dirname src/bdd/llb/llb2Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d +mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ -mkdir -p share/include/libs/json11/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h +mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ -mkdir -p share/include/frontends/ast/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h +mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ --> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h -./depends.sh "gcc" `dirname src/bdd/llb/llb2Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d --> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c -./depends.sh "gcc" `dirname src/bdd/llb/llb2Bad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d mkdir -p share/include/backends/rtlil/ +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c +./depends.sh "gcc" `dirname src/bdd/llb/llb2Flow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/include/backends/cxxrtl/ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc @@ -2376,11 +2400,14 @@ mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c cp "./"/backends/smt2/ywio.py share/python3/ywio.py +./depends.sh "gcc" `dirname src/bdd/llb/llb2Dump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic @@ -2394,31 +2421,31 @@ mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c +./depends.sh "gcc" `dirname src/bdd/llb/llb2Driver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/common/simlib.v share/simlib.v --> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Sched.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v --> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Reach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c +./depends.sh "gcc" `dirname src/bdd/llb/llb2Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share @@ -2428,12 +2455,15 @@ mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share +-> ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c +./depends.sh "gcc" `dirname src/bdd/llb/llb2Bad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share/coolrunner2 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v @@ -2441,888 +2471,884 @@ cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Sched.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh --> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Reach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d mkdir -p share/ecp5 -./depends.sh "gcc" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d --> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Pivot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Matrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Man.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d mkdir -p share/ecp5 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Hint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d mkdir -p share/ecp5 +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/bdd/llb/llb1Group.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d mkdir -p share/ecp5 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c +./depends.sh "gcc" `dirname src/bdd/llb/llb1Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v +./depends.sh "gcc" `dirname src/bdd/llb/llb1Constr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v --> ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Man.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d --> ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Hint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Group.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/efinix cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c -./depends.sh "gcc" `dirname src/bdd/llb/llb1Constr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d -> ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c ./depends.sh "gcc" `dirname src/bdd/llb/llb1Cluster.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c -./depends.sh "gcc" `dirname src/bdd/bbr/bbrReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c -./depends.sh "gcc" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d -mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c +./depends.sh "gcc" `dirname src/bdd/bbr/bbrReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v --> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c -./depends.sh "gcc" `dirname src/bdd/bbr/bbrImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v --> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c -./depends.sh "gcc" `dirname src/bdd/bbr/bbrCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cas/casDec.c -./depends.sh "gcc" `dirname src/bdd/cas/casDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d --> ABC: `` Generating dependency: /src/bdd/cas/casCore.c -./depends.sh "gcc" `dirname src/bdd/cas/casCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c -./depends.sh "gcc" `dirname src/bdd/reo/reoUnits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d --> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c -./depends.sh "gcc" `dirname src/bdd/reo/reoTransfer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c -./depends.sh "gcc" `dirname src/bdd/reo/reoSwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c -./depends.sh "gcc" `dirname src/bdd/reo/reoSift.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d --> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c -./depends.sh "gcc" `dirname src/bdd/reo/reoShuffle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c -./depends.sh "gcc" `dirname src/bdd/reo/reoProfile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c -./depends.sh "gcc" `dirname src/bdd/reo/reoCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d --> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c -./depends.sh "gcc" `dirname src/bdd/reo/reoApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c -./depends.sh "gcc" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d --> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c -./depends.sh "gcc" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/epd/epd.c -./depends.sh "gcc" `dirname src/bdd/epd/epd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d --> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdProc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d --> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d --> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/efinix --> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c -./depends.sh "gcc" `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c +./depends.sh "gcc" `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c mkdir -p share/fabulous +-> ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c +./depends.sh "gcc" `dirname src/bdd/bbr/bbrImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d +./depends.sh "gcc" `dirname src/bdd/bbr/bbrCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous +-> ABC: `` Generating dependency: /src/bdd/cas/casDec.c +./depends.sh "gcc" `dirname src/bdd/cas/casDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d +mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cas/casCore.c +./depends.sh "gcc" `dirname src/bdd/cas/casCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v +-> ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c mkdir -p share/gatemate +./depends.sh "gcc" `dirname src/bdd/reo/reoUnits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d +-> ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v +./depends.sh "gcc" `dirname src/bdd/reo/reoTransfer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d mkdir -p share/gatemate +-> ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c +./depends.sh "gcc" `dirname src/bdd/reo/reoSwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/reo/reoSift.c +./depends.sh "gcc" `dirname src/bdd/reo/reoSift.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c +./depends.sh "gcc" `dirname src/bdd/reo/reoShuffle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v +-> ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c +./depends.sh "gcc" `dirname src/bdd/reo/reoProfile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d mkdir -p share/gatemate -cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v +-> ABC: `` Generating dependency: /src/bdd/reo/reoCore.c +./depends.sh "gcc" `dirname src/bdd/reo/reoCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/reo/reoApi.c +-> ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c +./depends.sh "gcc" `dirname src/bdd/reo/reoApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d mkdir -p share/gatemate +./depends.sh "gcc" `dirname src/bdd/mtr/mtrGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh +-> ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c +./depends.sh "gcc" `dirname src/bdd/mtr/mtrBasic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d +-> ABC: `` Generating dependency: /src/bdd/epd/epd.c +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c +./depends.sh "gcc" `dirname src/bdd/epd/epd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d +./depends.sh "gcc" `dirname src/bdd/dsd/dsdTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c +./depends.sh "gcc" `dirname src/bdd/dsd/dsdProc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c +touch techlibs/gatemate/lut_tree_lib.mk +./depends.sh "gcc" `dirname src/bdd/dsd/dsdMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d mkdir -p share/gowin +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c +./depends.sh "gcc" `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v -touch techlibs/gatemate/lut_tree_lib.mk -mkdir -p share/gowin +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c mkdir -p share/gowin +./depends.sh "gcc" `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v -cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin +cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v +mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt -mkdir -p share/greenpak4 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v -cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v +-> ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c +./depends.sh "gcc" `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c mkdir -p share/greenpak4 +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d +cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v -cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d +cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d mkdir -p share/ice40 +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c -./depends.sh "gcc" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d -mkdir -p share/ice40 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/ice40 +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 -cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v +-> ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c +./depends.sh "gcc" `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/ice40 -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d -mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt -mkdir -p share/ice40 -cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c ./depends.sh "gcc" `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/ice40 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d +cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ice40 --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/common -cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d +cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d mkdir -p share/intel/common -cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d mkdir -p share/intel/cyclone10lp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d mkdir -p share/intel/cyclone10lp +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d mkdir -p share/intel/cycloneive -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c mkdir -p share/intel_alm/common +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d mkdir -p share/intel_alm/common +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common --> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c mkdir -p share/intel_alm/cyclonev +./depends.sh "gcc" `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt --> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/intel_alm/common --> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d mkdir -p share/lattice +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice -cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh -mkdir -p share/lattice -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh ./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d -cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh -> ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d mkdir -p share/lattice +cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d +mkdir -p share/lattice +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v +./depends.sh "gcc" `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/lattice +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v +./depends.sh "gcc" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d +./depends.sh "gcc" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c mkdir -p share/lattice -./depends.sh "gcc" `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddClip.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt --> ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d mkdir -p share/lattice +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice -cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt -mkdir -p share/lattice -cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d -mkdir -p share/lattice -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v -mkdir -p share/lattice -cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v -mkdir -p share/lattice -cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v -mkdir -p share/nexus -cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddClip.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d --> ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/lattice +cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c +mkdir -p share/lattice ./depends.sh "gcc" `dirname src/bdd/cudd/cuddApprox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddApa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d +cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/lattice cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/lattice +cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v +mkdir -p share/nexus +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d +cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus -cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c mkdir -p share/nexus -./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c +./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ mkdir -p share/nexus +-> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v --> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c -./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d mkdir -p share/nexus -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d -cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v -mkdir -p share/quicklogic -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c -cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d +cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v +cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c ./depends.sh "gcc" `dirname src/bdd/cudd/cuddAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v +cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v -> ABC: `` Generating dependency: /src/aig/hop/hopUtil.c ./depends.sh "gcc" `dirname src/aig/hop/hopUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d -mkdir -p share/quicklogic -cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/sf2 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v -> ABC: `` Generating dependency: /src/aig/hop/hopTruth.c -cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v ./depends.sh "gcc" `dirname src/aig/hop/hopTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d -mkdir -p share/sf2 +mkdir -p share/quicklogic cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v -mkdir -p share/sf2 -mkdir -p share/xilinx -cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v -cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v +cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v -> ABC: `` Generating dependency: /src/aig/hop/hopTable.c ./depends.sh "gcc" `dirname src/aig/hop/hopTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d -mkdir -p share/xilinx +mkdir -p share/quicklogic +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v -> ABC: `` Generating dependency: /src/aig/hop/hopOper.c -./depends.sh "gcc" `dirname src/aig/hop/hopOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d -cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v -> ABC: `` Generating dependency: /src/aig/hop/hopObj.c +./depends.sh "gcc" `dirname src/aig/hop/hopOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d +mkdir -p share/quicklogic ./depends.sh "gcc" `dirname src/aig/hop/hopObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt +cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v +mkdir -p share/quicklogic cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt -> ABC: `` Generating dependency: /src/aig/hop/hopMem.c ./depends.sh "gcc" `dirname src/aig/hop/hopMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v +cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v -> ABC: `` Generating dependency: /src/aig/hop/hopMan.c ./depends.sh "gcc" `dirname src/aig/hop/hopMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v +mkdir -p share/quicklogic +cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v +mkdir -p share/sf2 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt -mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/hop/hopDfs.c ./depends.sh "gcc" `dirname src/aig/hop/hopDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d -cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v +cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v +mkdir -p share/sf2 -> ABC: `` Generating dependency: /src/aig/hop/hopCheck.c +cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v +-> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c ./depends.sh "gcc" `dirname src/aig/hop/hopCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx --> ABC: `` Generating dependency: /src/aig/hop/hopBalance.c --> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c +mkdir -p share/sf2 ./depends.sh "gcc" `dirname src/aig/hop/hopBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d +cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v +-> ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d -cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c +mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d --> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c -./depends.sh "gcc" `dirname src/aig/ivy/ivySeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx +cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v --> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c -./depends.sh "gcc" `dirname src/aig/ivy/ivyRwr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v +cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt +-> ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c +./depends.sh "gcc" `dirname src/aig/ivy/ivySeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d +cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt +-> ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c +cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c +mkdir -p share/xilinx +./depends.sh "gcc" `dirname src/aig/ivy/ivyRwr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d +cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt +mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyResyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d +cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c -./depends.sh "gcc" `dirname src/aig/ivy/ivyOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d -> ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c +./depends.sh "gcc" `dirname src/aig/ivy/ivyOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d +cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx ./depends.sh "gcc" `dirname src/aig/ivy/ivyObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d +cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx -cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v +cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh -> ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v -mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt -> ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c +cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d -cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v -mkdir -p share/xilinx mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v -cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v +./depends.sh "gcc" `dirname src/aig/ivy/ivyHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d +cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx --> ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c -cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v +cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c -./depends.sh "gcc" `dirname src/aig/ivy/ivyHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d ./depends.sh "gcc" `dirname src/aig/ivy/ivyFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d +mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyFastMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d -mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c +-> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/xilinx --> ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d -cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v +cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d -mkdir -p share/xilinx -cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v -mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v --> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p kernel/ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d -gcc -o kernel/version_2584903a060.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_2584903a060.cc -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d +mkdir -p share/xilinx +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d -mkdir -p kernel/ -gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v -> ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c ./depends.sh "gcc" `dirname src/aig/ivy/ivyBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d +mkdir -p share/xilinx +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v -> ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c ./depends.sh "gcc" `dirname src/aig/ioa/ioaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d -mkdir -p frontends/rtlil/ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx -> ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c -gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc ./depends.sh "gcc" `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d -mkdir -p frontends/rtlil/ +cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v -> ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c -gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc ./depends.sh "gcc" `dirname src/aig/ioa/ioaReadAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaUtil.c ./depends.sh "gcc" `dirname src/aig/gia/giaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d +mkdir -p share/xilinx +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaUnate.c ./depends.sh "gcc" `dirname src/aig/gia/giaUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v +mkdir -p share/xilinx +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaTsim.c ./depends.sh "gcc" `dirname src/aig/gia/giaTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d +mkdir -p share/xilinx +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaTruth.c -./depends.sh "gcc" `dirname src/aig/gia/giaTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d +cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaTranStoch.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/aig/gia/giaTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d ./depends.sh "gcc" `dirname src/aig/gia/giaTranStoch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTranStoch.c > src/aig/gia/giaTranStoch.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/verilog/ -gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -> ABC: `` Generating dependency: /src/aig/gia/giaTis.c ./depends.sh "gcc" `dirname src/aig/gia/giaTis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d +cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaTim.c ./depends.sh "gcc" `dirname src/aig/gia/giaTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c ./depends.sh "gcc" `dirname src/aig/gia/giaSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d --> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c -./depends.sh "gcc" `dirname src/aig/gia/giaSweeper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d +mkdir -p share/xilinx +cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/xilinx cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c +./depends.sh "gcc" `dirname src/aig/gia/giaSweeper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d +mkdir -p kernel/ -> ABC: `` Generating dependency: /src/aig/gia/giaSweep.c ./depends.sh "gcc" `dirname src/aig/gia/giaSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +gcc -o kernel/version_2584903a060.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_2584903a060.cc +mkdir -p kernel/ -> ABC: `` Generating dependency: /src/aig/gia/giaSupps.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupps.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupps.c > src/aig/gia/giaSupps.d +gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSupp.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p frontends/rtlil/ -> ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c ./depends.sh "gcc" `dirname src/aig/gia/giaSupMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d +gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStr.c ./depends.sh "gcc" `dirname src/aig/gia/giaStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStoch.c ./depends.sh "gcc" `dirname src/aig/gia/giaStoch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStoch.c > src/aig/gia/giaStoch.d -mkdir -p frontends/verilog/ -flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaStg.c ./depends.sh "gcc" `dirname src/aig/gia/giaStg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d +mkdir -p frontends/rtlil/ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSplit.c ./depends.sh "gcc" `dirname src/aig/gia/giaSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c ./depends.sh "gcc" `dirname src/aig/gia/giaSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d -mkdir -p passes/pmgen/ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSort.c ./depends.sh "gcc" `dirname src/aig/gia/giaSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d -gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSimBase.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/aig/gia/giaSimBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSimBase.c > src/aig/gia/giaSimBase.d -> ABC: `` Generating dependency: /src/aig/gia/giaSim2.c ./depends.sh "gcc" `dirname src/aig/gia/giaSim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSim.c ./depends.sh "gcc" `dirname src/aig/gia/giaSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p passes/pmgen/ -> ABC: `` Generating dependency: /src/aig/gia/giaSif.c ./depends.sh "gcc" `dirname src/aig/gia/giaSif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c > src/aig/gia/giaSif.d -gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p passes/pmgen/ -gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc -> ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink7.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d -> ABC: `` Generating dependency: /src/aig/gia/giaShrink.c ./depends.sh "gcc" `dirname src/aig/gia/giaShrink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p frontends/verilog/ +gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc -> ABC: `` Generating dependency: /src/aig/gia/giaShow.c ./depends.sh "gcc" `dirname src/aig/gia/giaShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d -mkdir -p ./ -gcc -o yosys-filterlib -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScript.c ./depends.sh "gcc" `dirname src/aig/gia/giaScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3330,64 +3356,68 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaScl.c ./depends.sh "gcc" `dirname src/aig/gia/giaScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d +mkdir -p frontends/verilog/ +flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p passes/pmgen/ -> ABC: `` Generating dependency: /src/aig/gia/giaSat3.c +gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc ./depends.sh "gcc" `dirname src/aig/gia/giaSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d -> ABC: `` Generating dependency: /src/aig/gia/giaSatSyn.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatSyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatSyn.c > src/aig/gia/giaSatSyn.d +mkdir -p passes/pmgen/ +gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p share/gatemate -cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib -> ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c -mkdir -p share/gatemate ./depends.sh "gcc" `dirname src/aig/gia/giaSatoko.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d -cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v -> ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -mkdir -p frontends/verilog/ -gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c --> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatLE.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaSatEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d -> ABC: `` Generating dependency: /src/aig/gia/giaRex.c ./depends.sh "gcc" `dirname src/aig/gia/giaRex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaRetime.c ./depends.sh "gcc" `dirname src/aig/gia/giaRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d +mkdir -p passes/pmgen/ +gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc +mkdir -p ./ +gcc -o yosys-filterlib -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub6.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c > src/aig/gia/giaResub6.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub3.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c > src/aig/gia/giaResub3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub2.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c > src/aig/gia/giaResub2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaResub.c ./depends.sh "gcc" `dirname src/aig/gia/giaResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape2.c ./depends.sh "gcc" `dirname src/aig/gia/giaReshape2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c > src/aig/gia/giaReshape2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaReshape1.c ./depends.sh "gcc" `dirname src/aig/gia/giaReshape1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c > src/aig/gia/giaReshape1.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaQbf.c ./depends.sh "gcc" `dirname src/aig/gia/giaQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPf.c ./depends.sh "gcc" `dirname src/aig/gia/giaPf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPat2.c ./depends.sh "gcc" `dirname src/aig/gia/giaPat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c > src/aig/gia/giaPat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3396,45 +3426,51 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaPack.c ./depends.sh "gcc" `dirname src/aig/gia/giaPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +mkdir -p share/gatemate +cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v +mkdir -p frontends/verilog/ +gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc -> ABC: `` Generating dependency: /src/aig/gia/giaOf.c ./depends.sh "gcc" `dirname src/aig/gia/giaOf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaNf.c ./depends.sh "gcc" `dirname src/aig/gia/giaNf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c ./depends.sh "gcc" `dirname src/aig/gia/giaMuxes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut2.c ./depends.sh "gcc" `dirname src/aig/gia/giaMinLut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c > src/aig/gia/giaMinLut2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMinLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaMinLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c > src/aig/gia/giaMinLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMini.c ./depends.sh "gcc" `dirname src/aig/gia/giaMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMfs.c ./depends.sh "gcc" `dirname src/aig/gia/giaMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMem.c ./depends.sh "gcc" `dirname src/aig/gia/giaMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMan.c ./depends.sh "gcc" `dirname src/aig/gia/giaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaMf.c ./depends.sh "gcc" `dirname src/aig/gia/giaMf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaLf.c ./depends.sh "gcc" `dirname src/aig/gia/giaLf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaKf.c ./depends.sh "gcc" `dirname src/aig/gia/giaKf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaJf.c ./depends.sh "gcc" `dirname src/aig/gia/giaJf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIso3.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3445,11 +3481,11 @@ -> ABC: `` Generating dependency: /src/aig/gia/giaIso.c ./depends.sh "gcc" `dirname src/aig/gia/giaIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d -> ABC: `` Generating dependency: /src/aig/gia/giaIiff.c +-> ABC: `` Generating dependency: /src/aig/gia/giaIff.c ./depends.sh "gcc" `dirname src/aig/gia/giaIiff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d +./depends.sh "gcc" `dirname src/aig/gia/giaIff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/gia/giaIff.c -./depends.sh "gcc" `dirname src/aig/gia/giaIff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaIf.c ./depends.sh "gcc" `dirname src/aig/gia/giaIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d @@ -3458,17 +3494,17 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c ./depends.sh "gcc" `dirname src/aig/gia/giaGlitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d --> ABC: `` Generating dependency: /src/aig/gia/giaGig.c -./depends.sh "gcc" `dirname src/aig/gia/giaGig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaGig.c +./depends.sh "gcc" `dirname src/aig/gia/giaGig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaGen.c ./depends.sh "gcc" `dirname src/aig/gia/giaGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGen.c > src/aig/gia/giaGen.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFx.c ./depends.sh "gcc" `dirname src/aig/gia/giaFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFront.c ./depends.sh "gcc" `dirname src/aig/gia/giaFront.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d -> ABC: `` Generating dependency: /src/aig/gia/giaFrames.c @@ -3480,153 +3516,153 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFanout.c ./depends.sh "gcc" `dirname src/aig/gia/giaFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaFalse.c ./depends.sh "gcc" `dirname src/aig/gia/giaFalse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaExist.c ./depends.sh "gcc" `dirname src/aig/gia/giaExist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d -> ABC: `` Generating dependency: /src/aig/gia/giaEsop.c ./depends.sh "gcc" `dirname src/aig/gia/giaEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEra2.c ./depends.sh "gcc" `dirname src/aig/gia/giaEra2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEra.c ./depends.sh "gcc" `dirname src/aig/gia/giaEra.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c ./depends.sh "gcc" `dirname src/aig/gia/giaEquiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEnable.c ./depends.sh "gcc" `dirname src/aig/gia/giaEnable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c ./depends.sh "gcc" `dirname src/aig/gia/giaEmbed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaEdge.c ./depends.sh "gcc" `dirname src/aig/gia/giaEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDup.c ./depends.sh "gcc" `dirname src/aig/gia/giaDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDfs.c ./depends.sh "gcc" `dirname src/aig/gia/giaDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d -> ABC: `` Generating dependency: /src/aig/gia/giaDeep.c ./depends.sh "gcc" `dirname src/aig/gia/giaDeep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDeep.c > src/aig/gia/giaDeep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaDecs.c ./depends.sh "gcc" `dirname src/aig/gia/giaDecs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDecs.c > src/aig/gia/giaDecs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCut.c ./depends.sh "gcc" `dirname src/aig/gia/giaCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d -> ABC: `` Generating dependency: /src/aig/gia/giaCTas.c -./depends.sh "gcc" `dirname src/aig/gia/giaCTas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/aig/gia/giaCTas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSatP.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSatP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatP.c > src/aig/gia/giaCSatP.d --> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c -./depends.sh "gcc" `dirname src/aig/gia/giaCSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaCSat3.c +./depends.sh "gcc" `dirname src/aig/gia/giaCSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat3.c > src/aig/gia/giaCSat3.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCSat.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d -> ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c ./depends.sh "gcc" `dirname src/aig/gia/giaCSatOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCone.c ./depends.sh "gcc" `dirname src/aig/gia/giaCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCof.c ./depends.sh "gcc" `dirname src/aig/gia/giaCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaClp.c ./depends.sh "gcc" `dirname src/aig/gia/giaClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCex.c ./depends.sh "gcc" `dirname src/aig/gia/giaCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaCCof.c ./depends.sh "gcc" `dirname src/aig/gia/giaCCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBidec.c ./depends.sh "gcc" `dirname src/aig/gia/giaBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c ./depends.sh "gcc" `dirname src/aig/gia/giaBalMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c ./depends.sh "gcc" `dirname src/aig/gia/giaBalLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d -> ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c ./depends.sh "gcc" `dirname src/aig/gia/giaBalAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c --> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c ./depends.sh "gcc" `dirname src/aig/gia/giaAigerExt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d +-> ABC: `` Generating dependency: /src/aig/gia/giaAiger.c ./depends.sh "gcc" `dirname src/aig/gia/giaAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAgi.c ./depends.sh "gcc" `dirname src/aig/gia/giaAgi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/gia/giaAig.c ./depends.sh "gcc" `dirname src/aig/gia/giaAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigWnd.c --> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c ./depends.sh "gcc" `dirname src/aig/saig/saigWnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d +-> ABC: `` Generating dependency: /src/aig/saig/saigTrans.c ./depends.sh "gcc" `dirname src/aig/saig/saigTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d --> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c -./depends.sh "gcc" `dirname src/aig/saig/saigTempor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d --> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c -./depends.sh "gcc" `dirname src/aig/saig/saigSynch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigTempor.c +./depends.sh "gcc" `dirname src/aig/saig/saigTempor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigSynch.c +./depends.sh "gcc" `dirname src/aig/saig/saigSynch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d -> ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c ./depends.sh "gcc" `dirname src/aig/saig/saigSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c -./depends.sh "gcc" `dirname src/aig/saig/saigStrSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c -> ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c +./depends.sh "gcc" `dirname src/aig/saig/saigStrSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d ./depends.sh "gcc" `dirname src/aig/saig/saigSimSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c ./depends.sh "gcc" `dirname src/aig/saig/saigSimMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d -> ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c ./depends.sh "gcc" `dirname src/aig/saig/saigSimFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigScl.c ./depends.sh "gcc" `dirname src/aig/saig/saigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c -./depends.sh "gcc" `dirname src/aig/saig/saigRetStep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c +./depends.sh "gcc" `dirname src/aig/saig/saigRetStep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d ./depends.sh "gcc" `dirname src/aig/saig/saigRetMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c ./depends.sh "gcc" `dirname src/aig/saig/saigRetFwd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d -> ABC: `` Generating dependency: /src/aig/saig/saigPhase.c --> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c ./depends.sh "gcc" `dirname src/aig/saig/saigPhase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c ./depends.sh "gcc" `dirname src/aig/saig/saigOutDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d -> ABC: `` Generating dependency: /src/aig/saig/saigMiter.c ./depends.sh "gcc" `dirname src/aig/saig/saigMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c ./depends.sh "gcc" `dirname src/aig/saig/saigIsoSlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3640,20 +3676,21 @@ ./depends.sh "gcc" `dirname src/aig/saig/saigIoa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d -> ABC: `` Generating dependency: /src/aig/saig/saigInd.c ./depends.sh "gcc" `dirname src/aig/saig/saigInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d --> ABC: `` Generating dependency: /src/aig/saig/saigDup.c -./depends.sh "gcc" `dirname src/aig/saig/saigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/saig/saigDup.c +./depends.sh "gcc" `dirname src/aig/saig/saigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d -> ABC: `` Generating dependency: /src/aig/saig/saigDual.c ./depends.sh "gcc" `dirname src/aig/saig/saigDual.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c ./depends.sh "gcc" `dirname src/aig/saig/saigConstr2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigConstr.c ./depends.sh "gcc" `dirname src/aig/saig/saigConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/saig/saigCone.c ./depends.sh "gcc" `dirname src/aig/saig/saigCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d -> ABC: `` Generating dependency: /src/aig/aig/aigWin.c @@ -3662,14 +3699,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigUtil.c ./depends.sh "gcc" `dirname src/aig/aig/aigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTsim.c ./depends.sh "gcc" `dirname src/aig/aig/aigTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTruth.c ./depends.sh "gcc" `dirname src/aig/aig/aigTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigTiming.c ./depends.sh "gcc" `dirname src/aig/aig/aigTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3677,127 +3713,127 @@ ./depends.sh "gcc" `dirname src/aig/aig/aigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d -> ABC: `` Generating dependency: /src/aig/aig/aigSplit.c ./depends.sh "gcc" `dirname src/aig/aig/aigSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigShow.c ./depends.sh "gcc" `dirname src/aig/aig/aigShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigScl.c ./depends.sh "gcc" `dirname src/aig/aig/aigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRetF.c -./depends.sh "gcc" `dirname src/aig/aig/aigRetF.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d -> ABC: `` Generating dependency: /src/aig/aig/aigRet.c +./depends.sh "gcc" `dirname src/aig/aig/aigRetF.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d ./depends.sh "gcc" `dirname src/aig/aig/aigRet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigRepr.c ./depends.sh "gcc" `dirname src/aig/aig/aigRepr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d -> ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c ./depends.sh "gcc" `dirname src/aig/aig/aigPartSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c --> ABC: `` Generating dependency: /src/aig/aig/aigPart.c ./depends.sh "gcc" `dirname src/aig/aig/aigPartReg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigPart.c ./depends.sh "gcc" `dirname src/aig/aig/aigPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigPack.c ./depends.sh "gcc" `dirname src/aig/aig/aigPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOrder.c ./depends.sh "gcc" `dirname src/aig/aig/aigOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigOper.c ./depends.sh "gcc" `dirname src/aig/aig/aigOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigObj.c ./depends.sh "gcc" `dirname src/aig/aig/aigObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMffc.c ./depends.sh "gcc" `dirname src/aig/aig/aigMffc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigMem.c ./depends.sh "gcc" `dirname src/aig/aig/aigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d -> ABC: `` Generating dependency: /src/aig/aig/aigMan.c ./depends.sh "gcc" `dirname src/aig/aig/aigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d -> ABC: `` Generating dependency: /src/aig/aig/aigJust.c ./depends.sh "gcc" `dirname src/aig/aig/aigJust.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d --> ABC: `` Generating dependency: /src/aig/aig/aigInter.c -./depends.sh "gcc" `dirname src/aig/aig/aigInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigInter.c +./depends.sh "gcc" `dirname src/aig/aig/aigInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFrames.c ./depends.sh "gcc" `dirname src/aig/aig/aigFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigFanout.c -./depends.sh "gcc" `dirname src/aig/aig/aigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d -> ABC: `` Generating dependency: /src/aig/aig/aigDup.c --> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c +./depends.sh "gcc" `dirname src/aig/aig/aigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d ./depends.sh "gcc" `dirname src/aig/aig/aigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d -./depends.sh "gcc" `dirname src/aig/aig/aigDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/aig/aigDfs.c -> ABC: `` Generating dependency: /src/aig/aig/aigCuts.c +./depends.sh "gcc" `dirname src/aig/aig/aigDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d ./depends.sh "gcc" `dirname src/aig/aig/aigCuts.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCanon.c ./depends.sh "gcc" `dirname src/aig/aig/aigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/aig/aig/aigCheck.c ./depends.sh "gcc" `dirname src/aig/aig/aigCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d -> ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c ./depends.sh "gcc" `dirname src/proof/ssw/sswUnique.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c ./depends.sh "gcc" `dirname src/proof/ssw/sswSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c ./depends.sh "gcc" `dirname src/proof/ssw/sswSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d --> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c -./depends.sh "gcc" `dirname src/proof/ssw/sswSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswSim.c -> ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c +./depends.sh "gcc" `dirname src/proof/ssw/sswSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d ./depends.sh "gcc" `dirname src/proof/ssw/sswSemi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d -> ABC: `` Generating dependency: /src/proof/ssw/sswSat.c --> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c -./depends.sh "gcc" `dirname src/proof/ssw/sswRarity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d ./depends.sh "gcc" `dirname src/proof/ssw/sswSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c +./depends.sh "gcc" `dirname src/proof/ssw/sswRarity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d -> ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/ssw/sswPairs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d --> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswPart.c ./depends.sh "gcc" `dirname src/proof/ssw/sswPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d -> ABC: `` Generating dependency: /src/proof/ssw/sswMan.c ./depends.sh "gcc" `dirname src/proof/ssw/sswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/ssw/sswLcorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c ./depends.sh "gcc" `dirname src/proof/ssw/sswIslands.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d -> ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c ./depends.sh "gcc" `dirname src/proof/ssw/sswFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c ./depends.sh "gcc" `dirname src/proof/ssw/sswDyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d -> ABC: `` Generating dependency: /src/proof/ssw/sswCore.c ./depends.sh "gcc" `dirname src/proof/ssw/sswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d --> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/proof/ssw/sswConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c +./depends.sh "gcc" `dirname src/proof/ssw/sswConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d -> ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c ./depends.sh "gcc" `dirname src/proof/ssw/sswCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d -> ABC: `` Generating dependency: /src/proof/ssw/sswClass.c @@ -3813,19 +3849,18 @@ -> ABC: `` Generating dependency: /src/proof/fra/fraSim.c ./depends.sh "gcc" `dirname src/proof/fra/fraSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/fra/fraSec.c -./depends.sh "gcc" `dirname src/proof/fra/fraSec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraSec.c -> ABC: `` Generating dependency: /src/proof/fra/fraSat.c +./depends.sh "gcc" `dirname src/proof/fra/fraSec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d ./depends.sh "gcc" `dirname src/proof/fra/fraSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d -> ABC: `` Generating dependency: /src/proof/fra/fraPart.c --> ABC: `` Generating dependency: /src/proof/fra/fraMan.c -./depends.sh "gcc" `dirname src/proof/fra/fraPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d -./depends.sh "gcc" `dirname src/proof/fra/fraMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/proof/fra/fraPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraMan.c +./depends.sh "gcc" `dirname src/proof/fra/fraMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d -> ABC: `` Generating dependency: /src/proof/fra/fraLcr.c ./depends.sh "gcc" `dirname src/proof/fra/fraLcr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d -> ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c @@ -3833,67 +3868,69 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraInd.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/fra/fraInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraImp.c ./depends.sh "gcc" `dirname src/proof/fra/fraImp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraHot.c ./depends.sh "gcc" `dirname src/proof/fra/fraHot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d -> ABC: `` Generating dependency: /src/proof/fra/fraCore.c ./depends.sh "gcc" `dirname src/proof/fra/fraCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCnf.c ./depends.sh "gcc" `dirname src/proof/fra/fraCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraClaus.c ./depends.sh "gcc" `dirname src/proof/fra/fraClaus.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d -> ABC: `` Generating dependency: /src/proof/fra/fraClau.c --> ABC: `` Generating dependency: /src/proof/fra/fraClass.c ./depends.sh "gcc" `dirname src/proof/fra/fraClau.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d -./depends.sh "gcc" `dirname src/proof/fra/fraClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fra/fraClass.c +./depends.sh "gcc" `dirname src/proof/fra/fraClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fra/fraCec.c -./depends.sh "gcc" `dirname src/proof/fra/fraCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d -> ABC: `` Generating dependency: /src/proof/fra/fraBmc.c +./depends.sh "gcc" `dirname src/proof/fra/fraCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d ./depends.sh "gcc" `dirname src/proof/fra/fraBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c -./depends.sh "gcc" `dirname src/proof/fraig/fraigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c +./depends.sh "gcc" `dirname src/proof/fraig/fraigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d -> ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c --> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigPrime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d +-> ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c --> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d --> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigFeed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d -./depends.sh "gcc" `dirname src/proof/fraig/fraigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c +./depends.sh "gcc" `dirname src/proof/fraig/fraigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c ./depends.sh "gcc" `dirname src/proof/fraig/fraigApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d -> ABC: `` Generating dependency: /src/proof/dch/dchSweep.c @@ -3901,22 +3938,20 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c -./depends.sh "gcc" `dirname src/proof/dch/dchSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchSim.c --> ABC: `` Generating dependency: /src/proof/dch/dchSat.c +./depends.sh "gcc" `dirname src/proof/dch/dchSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d ./depends.sh "gcc" `dirname src/proof/dch/dchSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d +-> ABC: `` Generating dependency: /src/proof/dch/dchSat.c ./depends.sh "gcc" `dirname src/proof/dch/dchSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d --> ABC: `` Generating dependency: /src/proof/dch/dchMan.c --> ABC: `` Generating dependency: /src/proof/dch/dchCore.c -./depends.sh "gcc" `dirname src/proof/dch/dchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d -./depends.sh "gcc" `dirname src/proof/dch/dchCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/dch/dchMan.c +./depends.sh "gcc" `dirname src/proof/dch/dchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/dch/dchCore.c -> ABC: `` Generating dependency: /src/proof/dch/dchCnf.c +./depends.sh "gcc" `dirname src/proof/dch/dchCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d ./depends.sh "gcc" `dirname src/proof/dch/dchCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d -> ABC: `` Generating dependency: /src/proof/dch/dchClass.c ./depends.sh "gcc" `dirname src/proof/dch/dchClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d @@ -3924,30 +3959,31 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchChoice.c ./depends.sh "gcc" `dirname src/proof/dch/dchChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/dch/dchAig.c ./depends.sh "gcc" `dirname src/proof/dch/dchAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d -> ABC: `` Generating dependency: /src/proof/acec/acecXor.c ./depends.sh "gcc" `dirname src/proof/acec/acecXor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c ./depends.sh "gcc" `dirname src/proof/acec/acec2Mult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecUtil.c ./depends.sh "gcc" `dirname src/proof/acec/acecUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d --> ABC: `` Generating dependency: /src/proof/acec/acecTree.c -./depends.sh "gcc" `dirname src/proof/acec/acecTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecTree.c +./depends.sh "gcc" `dirname src/proof/acec/acecTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d -> ABC: `` Generating dependency: /src/proof/acec/acecSt.c ./depends.sh "gcc" `dirname src/proof/acec/acecSt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c ./depends.sh "gcc" `dirname src/proof/acec/acecPolyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecOrder.c ./depends.sh "gcc" `dirname src/proof/acec/acecOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecNorm.c ./depends.sh "gcc" `dirname src/proof/acec/acecNorm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -3956,68 +3992,67 @@ ./depends.sh "gcc" `dirname src/proof/acec/acecMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d -> ABC: `` Generating dependency: /src/proof/acec/acecFadds.c ./depends.sh "gcc" `dirname src/proof/acec/acecFadds.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCover.c --> ABC: `` Generating dependency: /src/proof/acec/acecPool.c ./depends.sh "gcc" `dirname src/proof/acec/acecCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d -./depends.sh "gcc" `dirname src/proof/acec/acecPool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/acec/acecPool.c +./depends.sh "gcc" `dirname src/proof/acec/acecPool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecPo.c --> ABC: `` Generating dependency: /src/proof/acec/acecPa.c ./depends.sh "gcc" `dirname src/proof/acec/acecPo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d +-> ABC: `` Generating dependency: /src/proof/acec/acecPa.c ./depends.sh "gcc" `dirname src/proof/acec/acecPa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecRe.c ./depends.sh "gcc" `dirname src/proof/acec/acecRe.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecBo.c ./depends.sh "gcc" `dirname src/proof/acec/acecBo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCo.c ./depends.sh "gcc" `dirname src/proof/acec/acecCo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/acec/acecCore.c --> ABC: `` Generating dependency: /src/proof/acec/acecCl.c ./depends.sh "gcc" `dirname src/proof/acec/acecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d +-> ABC: `` Generating dependency: /src/proof/acec/acecCl.c ./depends.sh "gcc" `dirname src/proof/acec/acecCl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSweep.c -./depends.sh "gcc" `dirname src/proof/cec/cecSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d -> ABC: `` Generating dependency: /src/proof/cec/cecSynth.c +./depends.sh "gcc" `dirname src/proof/cec/cecSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d ./depends.sh "gcc" `dirname src/proof/cec/cecSynth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c +./depends.sh "gcc" `dirname src/proof/cec/cecSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/cec/cecSplit.c -./depends.sh "gcc" `dirname src/proof/cec/cecSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d -> ABC: `` Generating dependency: /src/proof/cec/cecSolveG.c ./depends.sh "gcc" `dirname src/proof/cec/cecSolveG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c > src/proof/cec/cecSolveG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSolve.c ./depends.sh "gcc" `dirname src/proof/cec/cecSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSim.c ./depends.sh "gcc" `dirname src/proof/cec/cecSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c > src/proof/cec/cecSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSeq.c ./depends.sh "gcc" `dirname src/proof/cec/cecSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d -> ABC: `` Generating dependency: /src/proof/cec/cecSatG3.c ./depends.sh "gcc" `dirname src/proof/cec/cecSatG3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG3.c > src/proof/cec/cecSatG3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG2.c ./depends.sh "gcc" `dirname src/proof/cec/cecSatG2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c > src/proof/cec/cecSatG2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecSatG.c -./depends.sh "gcc" `dirname src/proof/cec/cecSatG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d --> ABC: `` Generating dependency: /src/proof/cec/cecSat.c -./depends.sh "gcc" `dirname src/proof/cec/cecSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/proof/cec/cecSatG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecSat.c +./depends.sh "gcc" `dirname src/proof/cec/cecSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d -> ABC: `` Generating dependency: /src/proof/cec/cecPat.c ./depends.sh "gcc" `dirname src/proof/cec/cecPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d -> ABC: `` Generating dependency: /src/proof/cec/cecMan.c @@ -4028,34 +4063,35 @@ ./depends.sh "gcc" `dirname src/proof/cec/cecIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d -> ABC: `` Generating dependency: /src/proof/cec/cecCorr.c ./depends.sh "gcc" `dirname src/proof/cec/cecCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecCore.c ./depends.sh "gcc" `dirname src/proof/cec/cecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/cec/cecClass.c ./depends.sh "gcc" `dirname src/proof/cec/cecClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d --> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/cec/cecChoice.c ./depends.sh "gcc" `dirname src/proof/cec/cecChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d -> ABC: `` Generating dependency: /src/proof/cec/cecCec.c ./depends.sh "gcc" `dirname src/proof/cec/cecCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d --> ABC: `` Generating dependency: /src/proof/int/intUtil.c -./depends.sh "gcc" `dirname src/proof/int/intUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/int/intUtil.c +./depends.sh "gcc" `dirname src/proof/int/intUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d -> ABC: `` Generating dependency: /src/proof/int/intMan.c ./depends.sh "gcc" `dirname src/proof/int/intMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intM114.c ./depends.sh "gcc" `dirname src/proof/int/intM114.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/int/intInter.c ./depends.sh "gcc" `dirname src/proof/int/intInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d --> ABC: `` Generating dependency: /src/proof/int/intFrames.c -./depends.sh "gcc" `dirname src/proof/int/intFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/int/intFrames.c +./depends.sh "gcc" `dirname src/proof/int/intFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d -> ABC: `` Generating dependency: /src/proof/int/intDup.c ./depends.sh "gcc" `dirname src/proof/int/intDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d -> ABC: `` Generating dependency: /src/proof/int/intCtrex.c @@ -4069,309 +4105,308 @@ ./depends.sh "gcc" `dirname src/proof/int/intContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d -> ABC: `` Generating dependency: /src/proof/int/intCheck.c ./depends.sh "gcc" `dirname src/proof/int/intCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d --> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c ./depends.sh "gcc" `dirname src/proof/ssc/sscUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c +./depends.sh "gcc" `dirname src/proof/ssc/sscSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/ssc/sscSim.c -> ABC: `` Generating dependency: /src/proof/ssc/sscSat.c ./depends.sh "gcc" `dirname src/proof/ssc/sscSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d -./depends.sh "gcc" `dirname src/proof/ssc/sscSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d --> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/ssc/sscCore.c +./depends.sh "gcc" `dirname src/proof/ssc/sscCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/ssc/sscClass.c --> ABC: `` Generating dependency: /src/proof/live/combination.c -./depends.sh "gcc" `dirname src/proof/ssc/sscCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d ./depends.sh "gcc" `dirname src/proof/ssc/sscClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d -./depends.sh "gcc" `dirname src/proof/live/combination.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d --> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c -./depends.sh "gcc" `dirname src/proof/live/kLiveConstraints.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/live/combination.c +-> ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c +./depends.sh "gcc" `dirname src/proof/live/combination.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/proof/live/kLiveConstraints.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/arenaViolation.c ./depends.sh "gcc" `dirname src/proof/live/arenaViolation.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c ./depends.sh "gcc" `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/monotone.c ./depends.sh "gcc" `dirname src/proof/live/monotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/kliveness.c ./depends.sh "gcc" `dirname src/proof/live/kliveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/live/ltl_parser.c ./depends.sh "gcc" `dirname src/proof/live/ltl_parser.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d -> ABC: `` Generating dependency: /src/proof/live/liveness_sim.c -> ABC: `` Generating dependency: /src/proof/live/liveness.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/live/liveness_sim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d ./depends.sh "gcc" `dirname src/proof/live/liveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absUtil.c +-> ABC: `` Generating dependency: /src/proof/abs/absVta.c ./depends.sh "gcc" `dirname src/proof/abs/absUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d +./depends.sh "gcc" `dirname src/proof/abs/absVta.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/abs/absVta.c -> ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c -./depends.sh "gcc" `dirname src/proof/abs/absVta.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d ./depends.sh "gcc" `dirname src/proof/abs/absRpmOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRpm.c ./depends.sh "gcc" `dirname src/proof/abs/absRpm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d -> ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c ./depends.sh "gcc" `dirname src/proof/abs/absRefSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absRef.c ./depends.sh "gcc" `dirname src/proof/abs/absRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absPth.c -./depends.sh "gcc" `dirname src/proof/abs/absPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d -> ABC: `` Generating dependency: /src/proof/abs/absOut.c +./depends.sh "gcc" `dirname src/proof/abs/absPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/proof/abs/absOut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldSim.c ./depends.sh "gcc" `dirname src/proof/abs/absOldSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldSat.c ./depends.sh "gcc" `dirname src/proof/abs/absOldSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absOldRef.c ./depends.sh "gcc" `dirname src/proof/abs/absOldRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c +./depends.sh "gcc" `dirname src/proof/abs/absOldCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/proof/abs/absOldCex.c -> ABC: `` Generating dependency: /src/proof/abs/absIter.c -./depends.sh "gcc" `dirname src/proof/abs/absOldCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d --> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c ./depends.sh "gcc" `dirname src/proof/abs/absIter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d +-> ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c ./depends.sh "gcc" `dirname src/proof/abs/absGlaOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absGla.c ./depends.sh "gcc" `dirname src/proof/abs/absGla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/abs/absDup.c ./depends.sh "gcc" `dirname src/proof/abs/absDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c --> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c ./depends.sh "gcc" `dirname src/proof/pdr/pdrIncr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c -./depends.sh "gcc" `dirname src/proof/pdr/pdrCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d -> ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c +./depends.sh "gcc" `dirname src/proof/pdr/pdrCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d ./depends.sh "gcc" `dirname src/proof/pdr/pdrCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rpo/rpo.c ./depends.sh "gcc" `dirname src/bool/rpo/rpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c ./depends.sh "gcc" `dirname src/bool/rsb/rsbMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d -> ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c ./depends.sh "gcc" `dirname src/bool/rsb/rsbDec6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c -./depends.sh "gcc" `dirname src/bool/lucky/luckySwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c +./depends.sh "gcc" `dirname src/bool/lucky/luckySwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d ./depends.sh "gcc" `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d -> ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c ./depends.sh "gcc" `dirname src/bool/lucky/luckySimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d --> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c -./depends.sh "gcc" `dirname src/bool/lucky/luckyRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c +./depends.sh "gcc" `dirname src/bool/lucky/luckyRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c ./depends.sh "gcc" `dirname src/bool/lucky/luckyFast6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c ./depends.sh "gcc" `dirname src/bool/lucky/luckyFast16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/lucky/lucky.c ./depends.sh "gcc" `dirname src/bool/lucky/lucky.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitTruth.c -./depends.sh "gcc" `dirname src/bool/kit/kitTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitSop.c +./depends.sh "gcc" `dirname src/bool/kit/kitTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d ./depends.sh "gcc" `dirname src/bool/kit/kitSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d -> ABC: `` Generating dependency: /src/bool/kit/kitPla.c ./depends.sh "gcc" `dirname src/bool/kit/kitPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d -> ABC: `` Generating dependency: /src/bool/kit/kitIsop.c ./depends.sh "gcc" `dirname src/bool/kit/kitIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d --> ABC: `` Generating dependency: /src/bool/kit/kitHop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/bool/kit/kitHop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/kit/kitHop.c +./depends.sh "gcc" `dirname src/bool/kit/kitHop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitGraph.c --> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c ./depends.sh "gcc" `dirname src/bool/kit/kitGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d +-> ABC: `` Generating dependency: /src/bool/kit/kitFactor.c ./depends.sh "gcc" `dirname src/bool/kit/kitFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitDsd.c --> ABC: `` Generating dependency: /src/bool/kit/cloud.c ./depends.sh "gcc" `dirname src/bool/kit/kitDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d +-> ABC: `` Generating dependency: /src/bool/kit/cloud.c ./depends.sh "gcc" `dirname src/bool/kit/cloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/kit/kitCloud.c ./depends.sh "gcc" `dirname src/bool/kit/kitCloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/kit/kitBdd.c -./depends.sh "gcc" `dirname src/bool/kit/kitBdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d -> ABC: `` Generating dependency: /src/bool/kit/kitAig.c +./depends.sh "gcc" `dirname src/bool/kit/kitBdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d ./depends.sh "gcc" `dirname src/bool/kit/kitAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d -> ABC: `` Generating dependency: /src/bool/dec/decUtil.c ./depends.sh "gcc" `dirname src/bool/dec/decUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/dec/decPrint.c --> ABC: `` Generating dependency: /src/bool/dec/decMan.c ./depends.sh "gcc" `dirname src/bool/dec/decPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d -./depends.sh "gcc" `dirname src/bool/dec/decMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/dec/decMan.c +./depends.sh "gcc" `dirname src/bool/dec/decMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d -> ABC: `` Generating dependency: /src/bool/dec/decFactor.c ./depends.sh "gcc" `dirname src/bool/dec/decFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d -> ABC: `` Generating dependency: /src/bool/dec/decAbc.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/bool/dec/decAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c -./depends.sh "gcc" `dirname src/bool/bdc/bdcTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c +./depends.sh "gcc" `dirname src/bool/bdc/bdcTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcSpfd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d -> ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c ./depends.sh "gcc" `dirname src/bool/bdc/bdcCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcUnroll.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMesh2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMesh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaxi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c -./depends.sh "gcc" `dirname src/sat/bmc/bmcLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c +./depends.sh "gcc" `dirname src/sat/bmc/bmcLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d ./depends.sh "gcc" `dirname src/sat/bmc/bmcInse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c -./depends.sh "gcc" `dirname src/sat/bmc/bmcICheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c +./depends.sh "gcc" `dirname src/sat/bmc/bmcICheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d ./depends.sh "gcc" `dirname src/sat/bmc/bmcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c +-> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcFault.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcExpand.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c +-> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcChain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexTools.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c -./depends.sh "gcc" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c +./depends.sh "gcc" `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcCexCare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmci.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBmc2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d -> ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c @@ -4379,319 +4414,319 @@ -> ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c ./depends.sh "gcc" `dirname src/sat/bmc/bmcBCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c -./depends.sh "gcc" `dirname src/sat/cnf/cnfUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c +./depends.sh "gcc" `dirname src/sat/cnf/cnfUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d ./depends.sh "gcc" `dirname src/sat/cnf/cnfPost.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c -./depends.sh "gcc" `dirname src/sat/cnf/cnfMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c +./depends.sh "gcc" `dirname src/sat/cnf/cnfMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/cnf/cnfMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c -./depends.sh "gcc" `dirname src/sat/cnf/cnfFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/sat/cnf/cnfFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfData.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/cnf/cnfData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d -> ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c ./depends.sh "gcc" `dirname src/sat/cnf/cnfCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatVec.c ./depends.sh "gcc" `dirname src/sat/msat/msatVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSort.c -./depends.sh "gcc" `dirname src/sat/msat/msatSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d -> ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c +./depends.sh "gcc" `dirname src/sat/msat/msatSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d ./depends.sh "gcc" `dirname src/sat/msat/msatSolverSearch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverIo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c ./depends.sh "gcc" `dirname src/sat/msat/msatSolverApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d -> ABC: `` Generating dependency: /src/sat/msat/msatRead.c ./depends.sh "gcc" `dirname src/sat/msat/msatRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatQueue.c --> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c ./depends.sh "gcc" `dirname src/sat/msat/msatQueue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d +-> ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c ./depends.sh "gcc" `dirname src/sat/msat/msatOrderH.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatMem.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/msat/msatMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c --> ABC: `` Generating dependency: /src/sat/msat/msatClause.c ./depends.sh "gcc" `dirname src/sat/msat/msatClauseVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/msat/msatClause.c ./depends.sh "gcc" `dirname src/sat/msat/msatClause.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/msat/msatActivity.c ./depends.sh "gcc" `dirname src/sat/msat/msatActivity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/csat/csat_apis.c ./depends.sh "gcc" `dirname src/sat/csat/csat_apis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/satoko/cnf_reader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/satoko/solver_api.c -./depends.sh "gcc" `dirname src/sat/satoko/solver_api.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/sat/satoko/solver_api.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d -> ABC: `` Generating dependency: /src/sat/satoko/solver.c ./depends.sh "gcc" `dirname src/sat/satoko/solver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c ./depends.sh "gcc" `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c ./depends.sh "gcc" `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c ./depends.sh "gcc" `dirname src/sat/xsat/xsatSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d -> ABC: `` Generating dependency: /src/sat/bsat/satUtil.c ./depends.sh "gcc" `dirname src/sat/bsat/satUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satTruth.c -./depends.sh "gcc" `dirname src/sat/bsat/satTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/sat/bsat/satTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d -> ABC: `` Generating dependency: /src/sat/bsat/satTrace.c ./depends.sh "gcc" `dirname src/sat/bsat/satTrace.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bsat/satStore.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/bsat/satStore.c ./depends.sh "gcc" `dirname src/sat/bsat/satStore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d +-> ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c ./depends.sh "gcc" `dirname src/sat/bsat/satSolver3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c ./depends.sh "gcc" `dirname src/sat/bsat/satSolver2i.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c -./depends.sh "gcc" `dirname src/sat/bsat/satSolver2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satSolver.c +./depends.sh "gcc" `dirname src/sat/bsat/satSolver2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bsat/satSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d -> ABC: `` Generating dependency: /src/sat/bsat/satProof.c ./depends.sh "gcc" `dirname src/sat/bsat/satProof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterP.c ./depends.sh "gcc" `dirname src/sat/bsat/satInterP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterB.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bsat/satInterB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/bsat/satInterA.c ./depends.sh "gcc" `dirname src/sat/bsat/satInterA.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d -> ABC: `` Generating dependency: /src/sat/bsat/satInter.c -> ABC: `` Generating dependency: /src/sat/bsat/satMem.c ./depends.sh "gcc" `dirname src/sat/bsat/satInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/sat/bsat/satMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d -> ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/sbd/sbdCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c ./depends.sh "gcc" `dirname src/opt/sbd/sbdCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sbd/sbd.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/sbd/sbd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d --> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmMit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d -> ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c ./depends.sh "gcc" `dirname src/opt/sfm/sfmArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dsc/dsc.c -./depends.sh "gcc" `dirname src/opt/dsc/dsc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/dsc/dsc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauTree.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dau/dauTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c -./depends.sh "gcc" `dirname src/opt/dau/dauNpn2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d -> ABC: `` Generating dependency: /src/opt/dau/dauNpn.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/dau/dauNpn2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d ./depends.sh "gcc" `dirname src/opt/dau/dauNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d -> ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c ./depends.sh "gcc" `dirname src/opt/dau/dauNonDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauMerge.c ./depends.sh "gcc" `dirname src/opt/dau/dauMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d --> ABC: `` Generating dependency: /src/opt/dau/dauGia.c -./depends.sh "gcc" `dirname src/opt/dau/dauGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauGia.c +./depends.sh "gcc" `dirname src/opt/dau/dauGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d -> ABC: `` Generating dependency: /src/opt/dau/dauEnum.c ./depends.sh "gcc" `dirname src/opt/dau/dauEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauDsd.c ./depends.sh "gcc" `dirname src/opt/dau/dauDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d --> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dau/dauDivs.c ./depends.sh "gcc" `dirname src/opt/dau/dauDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d -> ABC: `` Generating dependency: /src/opt/dau/dauCount.c ./depends.sh "gcc" `dirname src/opt/dau/dauCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCore.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dau/dauCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dau/dauCanon.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/opt/dau/dauCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d -> ABC: `` Generating dependency: /src/opt/dar/darScript.c --> ABC: `` Generating dependency: /src/opt/dar/darRefact.c +./depends.sh "gcc" `dirname src/opt/dau/dauCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d ./depends.sh "gcc" `dirname src/opt/dar/darScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d -./depends.sh "gcc" `dirname src/opt/dar/darRefact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dar/darRefact.c +./depends.sh "gcc" `dirname src/opt/dar/darRefact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darPrec.c ./depends.sh "gcc" `dirname src/opt/dar/darPrec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d --> ABC: `` Generating dependency: /src/opt/dar/darMan.c -./depends.sh "gcc" `dirname src/opt/dar/darMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/dar/darMan.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/dar/darMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d -> ABC: `` Generating dependency: /src/opt/dar/darLib.c ./depends.sh "gcc" `dirname src/opt/dar/darLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d -> ABC: `` Generating dependency: /src/opt/dar/darData.c ./depends.sh "gcc" `dirname src/opt/dar/darData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/dar/darCut.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/dar/darCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d -> ABC: `` Generating dependency: /src/opt/dar/darCore.c +-> ABC: `` Generating dependency: /src/opt/dar/darBalance.c ./depends.sh "gcc" `dirname src/opt/dar/darCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/dar/darBalance.c ./depends.sh "gcc" `dirname src/opt/dar/darBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswTable.c ./depends.sh "gcc" `dirname src/opt/csw/cswTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswMan.c ./depends.sh "gcc" `dirname src/opt/csw/cswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCut.c ./depends.sh "gcc" `dirname src/opt/csw/cswCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/csw/cswCore.c -./depends.sh "gcc" `dirname src/opt/csw/cswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c -./depends.sh "gcc" `dirname src/opt/cgt/cgtSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d +./depends.sh "gcc" `dirname src/opt/csw/cswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/cgt/cgtSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d -> ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtDecide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c ./depends.sh "gcc" `dirname src/opt/cgt/cgtCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c -./depends.sh "gcc" `dirname src/opt/cgt/cgtAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/cgt/cgtAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d -> ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c ./depends.sh "gcc" `dirname src/opt/rwt/rwtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c -./depends.sh "gcc" `dirname src/opt/rwt/rwtDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/rwt/rwtDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c -./depends.sh "gcc" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c +./depends.sh "gcc" `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d ./depends.sh "gcc" `dirname src/opt/nwk/nwkObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c -./depends.sh "gcc" `dirname src/opt/nwk/nwkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c +./depends.sh "gcc" `dirname src/opt/nwk/nwkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d ./depends.sh "gcc" `dirname src/opt/nwk/nwkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -4708,135 +4743,134 @@ ./depends.sh "gcc" `dirname src/opt/nwk/nwkCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d -> ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c ./depends.sh "gcc" `dirname src/opt/nwk/nwkAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/lpk/lpkMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d +-> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c +./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c -./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c ./depends.sh "gcc" `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c -./depends.sh "gcc" `dirname src/opt/lpk/lpkCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d -> ABC: `` Generating dependency: /src/opt/res/resWin.c +./depends.sh "gcc" `dirname src/opt/lpk/lpkCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d ./depends.sh "gcc" `dirname src/opt/res/resWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d --> ABC: `` Generating dependency: /src/opt/res/resStrash.c -./depends.sh "gcc" `dirname src/opt/res/resStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/res/resStrash.c -> ABC: `` Generating dependency: /src/opt/res/resSim.c +./depends.sh "gcc" `dirname src/opt/res/resStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d ./depends.sh "gcc" `dirname src/opt/res/resSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d -> ABC: `` Generating dependency: /src/opt/res/resSat.c ./depends.sh "gcc" `dirname src/opt/res/resSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resFilter.c ./depends.sh "gcc" `dirname src/opt/res/resFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resDivs.c ./depends.sh "gcc" `dirname src/opt/res/resDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/res/resCore.c ./depends.sh "gcc" `dirname src/opt/res/resCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d +-> ABC: `` Generating dependency: /src/opt/fret/fretTime.c +./depends.sh "gcc" `dirname src/opt/fret/fretTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/fret/fretTime.c -./depends.sh "gcc" `dirname src/opt/fret/fretTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d -> ABC: `` Generating dependency: /src/opt/fret/fretInit.c ./depends.sh "gcc" `dirname src/opt/fret/fretInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d -> ABC: `` Generating dependency: /src/opt/fret/fretFlow.c ./depends.sh "gcc" `dirname src/opt/fret/fretFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fret/fretMain.c -./depends.sh "gcc" `dirname src/opt/fret/fretMain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/fret/fretMain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d -> ABC: `` Generating dependency: /src/opt/ret/retLvalue.c ./depends.sh "gcc" `dirname src/opt/ret/retLvalue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retInit.c ./depends.sh "gcc" `dirname src/opt/ret/retInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retIncrem.c -./depends.sh "gcc" `dirname src/opt/ret/retIncrem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retFlow.c +./depends.sh "gcc" `dirname src/opt/ret/retIncrem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d ./depends.sh "gcc" `dirname src/opt/ret/retFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retDelay.c ./depends.sh "gcc" `dirname src/opt/ret/retDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/ret/retCore.c -./depends.sh "gcc" `dirname src/opt/ret/retCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/ret/retCore.c +./depends.sh "gcc" `dirname src/opt/ret/retCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/ret/retArea.c ./depends.sh "gcc" `dirname src/opt/ret/retArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d -> ABC: `` Generating dependency: /src/opt/sim/simUtils.c ./depends.sh "gcc" `dirname src/opt/sim/simUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymStr.c ./depends.sh "gcc" `dirname src/opt/sim/simSymStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d -> ABC: `` Generating dependency: /src/opt/sim/simSymSim.c ./depends.sh "gcc" `dirname src/opt/sim/simSymSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSymSat.c ./depends.sh "gcc" `dirname src/opt/sim/simSymSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/sim/simSym.c -> ABC: `` Generating dependency: /src/opt/sim/simSwitch.c ./depends.sh "gcc" `dirname src/opt/sim/simSym.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/sim/simSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d -> ABC: `` Generating dependency: /src/opt/sim/simSupp.c --> ABC: `` Generating dependency: /src/opt/sim/simSeq.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/sim/simSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d --> ABC: `` Generating dependency: /src/opt/sim/simMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/sim/simSeq.c ./depends.sh "gcc" `dirname src/opt/sim/simSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d --> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c +-> ABC: `` Generating dependency: /src/opt/sim/simMan.c ./depends.sh "gcc" `dirname src/opt/sim/simMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d -./depends.sh "gcc" `dirname src/opt/mfs/mfsWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c +./depends.sh "gcc" `dirname src/opt/mfs/mfsWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c +-> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c @@ -4844,114 +4878,115 @@ ./depends.sh "gcc" `dirname src/opt/mfs/mfsInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d ./depends.sh "gcc" `dirname src/opt/mfs/mfsDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d -> ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c --> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c ./depends.sh "gcc" `dirname src/opt/mfs/mfsCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d -./depends.sh "gcc" `dirname src/opt/rwr/rwrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c -> ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c +./depends.sh "gcc" `dirname src/opt/rwr/rwrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d ./depends.sh "gcc" `dirname src/opt/rwr/rwrPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d --> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c -./depends.sh "gcc" `dirname src/opt/rwr/rwrLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/rwr/rwrLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c -./depends.sh "gcc" `dirname src/opt/rwr/rwrExp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d -> ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c +./depends.sh "gcc" `dirname src/opt/rwr/rwrExp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/rwr/rwrEva.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c ./depends.sh "gcc" `dirname src/opt/rwr/rwrDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d -> ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c -> ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c ./depends.sh "gcc" `dirname src/opt/fxch/FxchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/fxch/FxchDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d -> ABC: `` Generating dependency: /src/opt/fxch/Fxch.c ./depends.sh "gcc" `dirname src/opt/fxch/Fxch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d --> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c -./depends.sh "gcc" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d --> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c -./depends.sh "gcc" `dirname src/opt/fxu/fxuSingle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c +-> ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c +./depends.sh "gcc" `dirname src/opt/fxu/fxuUpdate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/opt/fxu/fxuSingle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c --> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuPair.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d +-> ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuList.c --> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuHeapS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c ./depends.sh "gcc" `dirname src/opt/fxu/fxuHeapD.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d -> ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c --> ABC: `` Generating dependency: /src/opt/fxu/fxu.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/fxu/fxuCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d +-> ABC: `` Generating dependency: /src/opt/fxu/fxu.c ./depends.sh "gcc" `dirname src/opt/fxu/fxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutTruth.c ./depends.sh "gcc" `dirname src/opt/cut/cutTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutSeq.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/cut/cutSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutPre22.c ./depends.sh "gcc" `dirname src/opt/cut/cutPre22.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutOracle.c ./depends.sh "gcc" `dirname src/opt/cut/cutOracle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutNode.c ./depends.sh "gcc" `dirname src/opt/cut/cutNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMerge.c ./depends.sh "gcc" `dirname src/opt/cut/cutMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutMan.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/cut/cutMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d -> ABC: `` Generating dependency: /src/opt/cut/cutCut.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/opt/cut/cutCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/opt/cut/cutApi.c ./depends.sh "gcc" `dirname src/opt/cut/cutApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseStack.c ./depends.sh "gcc" `dirname src/misc/parse/parseStack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/parse/parseEqn.c ./depends.sh "gcc" `dirname src/misc/parse/parseEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d --> ABC: `` Generating dependency: /src/misc/bbl/bblif.c -./depends.sh "gcc" `dirname src/misc/bbl/bblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/bbl/bblif.c +./depends.sh "gcc" `dirname src/misc/bbl/bblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/bar/bar.c @@ -4959,90 +4994,90 @@ -> ABC: `` Generating dependency: /src/misc/mem/mem.c ./depends.sh "gcc" `dirname src/misc/mem/mem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timTrav.c ./depends.sh "gcc" `dirname src/misc/tim/timTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d --> ABC: `` Generating dependency: /src/misc/tim/timTime.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/tim/timTime.c ./depends.sh "gcc" `dirname src/misc/tim/timTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timMan.c -./depends.sh "gcc" `dirname src/misc/tim/timMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d -> ABC: `` Generating dependency: /src/misc/tim/timDump.c +./depends.sh "gcc" `dirname src/misc/tim/timMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d ./depends.sh "gcc" `dirname src/misc/tim/timDump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/tim/timBox.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/tim/timBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/nm/nmTable.c ./depends.sh "gcc" `dirname src/misc/nm/nmTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d --> ABC: `` Generating dependency: /src/misc/nm/nmApi.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/nm/nmApi.c ./depends.sh "gcc" `dirname src/misc/nm/nmApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSort.c ./depends.sh "gcc" `dirname src/misc/util/utilSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilSignal.c ./depends.sh "gcc" `dirname src/misc/util/utilSignal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilNam.c ./depends.sh "gcc" `dirname src/misc/util/utilNam.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/util/utilIsop.c -./depends.sh "gcc" `dirname src/misc/util/utilIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d -> ABC: `` Generating dependency: /src/misc/util/utilFile.c -./depends.sh "gcc" `dirname src/misc/util/utilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d +./depends.sh "gcc" `dirname src/misc/util/utilIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/misc/util/utilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d -> ABC: `` Generating dependency: /src/misc/util/utilColor.c -./depends.sh "gcc" `dirname src/misc/util/utilColor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d +-> ABC: `` Generating dependency: /src/misc/util/utilCex.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/util/utilCex.c +-> ABC: `` Generating dependency: /src/misc/util/utilBridge.c +./depends.sh "gcc" `dirname src/misc/util/utilColor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d ./depends.sh "gcc" `dirname src/misc/util/utilCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d +./depends.sh "gcc" `dirname src/misc/util/utilBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/util/utilBridge.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/st/stmm.c -./depends.sh "gcc" `dirname src/misc/util/utilBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d ./depends.sh "gcc" `dirname src/misc/st/stmm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d -> ABC: `` Generating dependency: /src/misc/st/st.c --> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c ./depends.sh "gcc" `dirname src/misc/st/st.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d +-> ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcOpBool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c --> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c +./depends.sh "gcc" `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/mvc/mvcMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d -./depends.sh "gcc" `dirname src/misc/mvc/mvcLits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d --> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c -./depends.sh "gcc" `dirname src/misc/mvc/mvcList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d +-> ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mvc/mvcList.c +./depends.sh "gcc" `dirname src/misc/mvc/mvcLits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/misc/mvc/mvcList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcDivisor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcDivide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d --> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c -./depends.sh "gcc" `dirname src/misc/mvc/mvcCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c +./depends.sh "gcc" `dirname src/misc/mvc/mvcCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d -> ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c ./depends.sh "gcc" `dirname src/misc/mvc/mvcCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5055,33 +5090,35 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c -./depends.sh "gcc" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c +./depends.sh "gcc" `dirname src/misc/extra/extraUtilUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c -./depends.sh "gcc" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c +./depends.sh "gcc" `dirname src/misc/extra/extraUtilSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d ./depends.sh "gcc" `dirname src/misc/extra/extraUtilReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c -./depends.sh "gcc" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c +./depends.sh "gcc" `dirname src/misc/extra/extraUtilProgress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMemory.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5090,343 +5127,343 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilMacc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c +./depends.sh "gcc" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/misc/extra/extraUtilEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d -./depends.sh "gcc" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c -./depends.sh "gcc" `dirname src/misc/extra/extraUtilCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d +./depends.sh "gcc" `dirname src/misc/extra/extraUtilDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/misc/extra/extraUtilCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c -./depends.sh "gcc" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/misc/extra/extraUtilCfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/misc/extra/extraUtilCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d -> ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c ./depends.sh "gcc" `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c ./depends.sh "gcc" `dirname src/map/mpm/mpmUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c ./depends.sh "gcc" `dirname src/map/mpm/mpmTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmPre.c ./depends.sh "gcc" `dirname src/map/mpm/mpmPre.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d -> ABC: `` Generating dependency: /src/map/mpm/mpmMig.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMap.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmMan.c ./depends.sh "gcc" `dirname src/map/mpm/mpmMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmLib.c ./depends.sh "gcc" `dirname src/map/mpm/mpmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmGates.c ./depends.sh "gcc" `dirname src/map/mpm/mpmGates.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c ./depends.sh "gcc" `dirname src/map/mpm/mpmDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d -> ABC: `` Generating dependency: /src/map/mpm/mpmCore.c -./depends.sh "gcc" `dirname src/map/mpm/mpmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d -> ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c +./depends.sh "gcc" `dirname src/map/mpm/mpmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d ./depends.sh "gcc" `dirname src/map/mpm/mpmAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclUtil.c ./depends.sh "gcc" `dirname src/map/scl/sclUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d -> ABC: `` Generating dependency: /src/map/scl/sclUpsize.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/scl/sclUpsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclSize.c ./depends.sh "gcc" `dirname src/map/scl/sclSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d --> ABC: `` Generating dependency: /src/map/scl/sclLoad.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/map/scl/sclLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/scl/sclLoad.c -> ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c +./depends.sh "gcc" `dirname src/map/scl/sclLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d ./depends.sh "gcc" `dirname src/map/scl/sclLibUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d --> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c -./depends.sh "gcc" `dirname src/map/scl/sclLibScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/scl/sclLibScl.c +./depends.sh "gcc" `dirname src/map/scl/sclLibScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/scl/sclLiberty.c ./depends.sh "gcc" `dirname src/map/scl/sclLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d -> ABC: `` Generating dependency: /src/map/scl/sclDnsize.c --> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/scl/sclDnsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d -./depends.sh "gcc" `dirname src/map/scl/sclBufSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/scl/sclBufSize.c -> ABC: `` Generating dependency: /src/map/scl/sclBuffer.c +./depends.sh "gcc" `dirname src/map/scl/sclBufSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d ./depends.sh "gcc" `dirname src/map/scl/sclBuffer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/scl/scl.c -./depends.sh "gcc" `dirname src/map/scl/scl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/scl/scl.c +./depends.sh "gcc" `dirname src/map/scl/scl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d -> ABC: `` Generating dependency: /src/map/cov/covMinUtil.c ./depends.sh "gcc" `dirname src/map/cov/covMinUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d -> ABC: `` Generating dependency: /src/map/cov/covMinSop.c ./depends.sh "gcc" `dirname src/map/cov/covMinSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMinMan.c ./depends.sh "gcc" `dirname src/map/cov/covMinMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d --> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/cov/covMinEsop.c ./depends.sh "gcc" `dirname src/map/cov/covMinEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/cov/covMan.c ./depends.sh "gcc" `dirname src/map/cov/covMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d -> ABC: `` Generating dependency: /src/map/cov/covCore.c ./depends.sh "gcc" `dirname src/map/cov/covCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d -> ABC: `` Generating dependency: /src/map/cov/covBuild.c ./depends.sh "gcc" `dirname src/map/cov/covBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d --> ABC: `` Generating dependency: /src/map/amap/amapUniq.c -./depends.sh "gcc" `dirname src/map/amap/amapUniq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/amap/amapUniq.c +./depends.sh "gcc" `dirname src/map/amap/amapUniq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRule.c ./depends.sh "gcc" `dirname src/map/amap/amapRule.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapRead.c ./depends.sh "gcc" `dirname src/map/amap/amapRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d -> ABC: `` Generating dependency: /src/map/amap/amapPerm.c ./depends.sh "gcc" `dirname src/map/amap/amapPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/amap/amapParse.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/amap/amapParse.c ./depends.sh "gcc" `dirname src/map/amap/amapParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapOutput.c -> ABC: `` Generating dependency: /src/map/amap/amapMerge.c -./depends.sh "gcc" `dirname src/map/amap/amapMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d ./depends.sh "gcc" `dirname src/map/amap/amapOutput.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/map/amap/amapMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapMatch.c ./depends.sh "gcc" `dirname src/map/amap/amapMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d -> ABC: `` Generating dependency: /src/map/amap/amapMan.c ./depends.sh "gcc" `dirname src/map/amap/amapMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d --> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/amap/amapLiberty.c ./depends.sh "gcc" `dirname src/map/amap/amapLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/amap/amapLib.c ./depends.sh "gcc" `dirname src/map/amap/amapLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d --> ABC: `` Generating dependency: /src/map/amap/amapGraph.c -./depends.sh "gcc" `dirname src/map/amap/amapGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/amap/amapGraph.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/map/amap/amapGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d -> ABC: `` Generating dependency: /src/map/amap/amapCore.c -./depends.sh "gcc" `dirname src/map/amap/amapCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d -> ABC: `` Generating dependency: /src/map/if/ifUtil.c +./depends.sh "gcc" `dirname src/map/amap/amapCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d ./depends.sh "gcc" `dirname src/map/if/ifUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTune.c ./depends.sh "gcc" `dirname src/map/if/ifTune.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifTruth.c ./depends.sh "gcc" `dirname src/map/if/ifTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d -> ABC: `` Generating dependency: /src/map/if/ifTime.c --> ABC: `` Generating dependency: /src/map/if/ifTest.c ./depends.sh "gcc" `dirname src/map/if/ifTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d +-> ABC: `` Generating dependency: /src/map/if/ifTest.c ./depends.sh "gcc" `dirname src/map/if/ifTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSeq.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSelect.c ./depends.sh "gcc" `dirname src/map/if/ifSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d ./depends.sh "gcc" `dirname src/map/if/ifSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifSat.c ./depends.sh "gcc" `dirname src/map/if/ifSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d --> ABC: `` Generating dependency: /src/map/if/ifReduce.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifReduce.c ./depends.sh "gcc" `dirname src/map/if/ifReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d -> ABC: `` Generating dependency: /src/map/if/ifMatch2.c ./depends.sh "gcc" `dirname src/map/if/ifMatch2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMap.c -./depends.sh "gcc" `dirname src/map/if/ifMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifMan.c +./depends.sh "gcc" `dirname src/map/if/ifMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d ./depends.sh "gcc" `dirname src/map/if/ifMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibLut.c ./depends.sh "gcc" `dirname src/map/if/ifLibLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifLibBox.c ./depends.sh "gcc" `dirname src/map/if/ifLibBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDsd.c --> ABC: `` Generating dependency: /src/map/if/ifDelay.c ./depends.sh "gcc" `dirname src/map/if/ifDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifDelay.c ./depends.sh "gcc" `dirname src/map/if/ifDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d -> ABC: `` Generating dependency: /src/map/if/ifDec75.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifDec16.c --> ABC: `` Generating dependency: /src/map/if/ifDec10.c ./depends.sh "gcc" `dirname src/map/if/ifDec75.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d +-> ABC: `` Generating dependency: /src/map/if/ifDec16.c ./depends.sh "gcc" `dirname src/map/if/ifDec16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifDec10.c ./depends.sh "gcc" `dirname src/map/if/ifDec10.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec08.c ./depends.sh "gcc" `dirname src/map/if/ifDec08.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifDec07.c ./depends.sh "gcc" `dirname src/map/if/ifDec07.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/map/if/ifData2.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/if/ifData2.c ./depends.sh "gcc" `dirname src/map/if/ifData2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCut.c ./depends.sh "gcc" `dirname src/map/if/ifCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d -> ABC: `` Generating dependency: /src/map/if/ifCore.c ./depends.sh "gcc" `dirname src/map/if/ifCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/if/ifCache.c -./depends.sh "gcc" `dirname src/map/if/ifCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d -> ABC: `` Generating dependency: /src/map/if/ifCom.c -./depends.sh "gcc" `dirname src/map/if/ifCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/map/if/ifCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/map/if/ifCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d -> ABC: `` Generating dependency: /src/map/super/superGate.c ./depends.sh "gcc" `dirname src/map/super/superGate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/super/superAnd.c ./depends.sh "gcc" `dirname src/map/super/superAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d --> ABC: `` Generating dependency: /src/map/super/super.c -./depends.sh "gcc" `dirname src/map/super/super.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/map/super/super.c +./depends.sh "gcc" `dirname src/map/super/super.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d -> ABC: `` Generating dependency: /src/map/mio/mioUtils.c +./depends.sh "gcc" `dirname src/map/mio/mioUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d -> ABC: `` Generating dependency: /src/map/mio/mioSop.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/map/mio/mioUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d ./depends.sh "gcc" `dirname src/map/mio/mioSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d -> ABC: `` Generating dependency: /src/map/mio/mioRead.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mio/mioRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioParse.c ./depends.sh "gcc" `dirname src/map/mio/mioParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioFunc.c ./depends.sh "gcc" `dirname src/map/mio/mioFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mioApi.c ./depends.sh "gcc" `dirname src/map/mio/mioApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mio/mio.c ./depends.sh "gcc" `dirname src/map/mio/mio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperVec.c -./depends.sh "gcc" `dirname src/map/mapper/mapperVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/map/mapper/mapperVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d -> ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c ./depends.sh "gcc" `dirname src/map/mapper/mapperUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c ./depends.sh "gcc" `dirname src/map/mapper/mapperTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d -> ABC: `` Generating dependency: /src/map/mapper/mapperTree.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mapper/mapperTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperTable.c ./depends.sh "gcc" `dirname src/map/mapper/mapperTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d ./depends.sh "gcc" `dirname src/map/mapper/mapperTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c ./depends.sh "gcc" `dirname src/map/mapper/mapperSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c ./depends.sh "gcc" `dirname src/map/mapper/mapperSuper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/map/mapper/mapperRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d -> ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c +./depends.sh "gcc" `dirname src/map/mapper/mapperRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d +./depends.sh "gcc" `dirname src/map/mapper/mapperMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperLib.c -./depends.sh "gcc" `dirname src/map/mapper/mapperMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d ./depends.sh "gcc" `dirname src/map/mapper/mapperLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCutUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCut.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/map/mapper/mapperCore.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d -> ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c ./depends.sh "gcc" `dirname src/map/mapper/mapperCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d -> ABC: `` Generating dependency: /src/map/mapper/mapper.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/map/mapper/mapper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d -> ABC: `` Generating dependency: /src/base/test/test.c --> ABC: `` Generating dependency: /src/base/pla/plaWrite.c ./depends.sh "gcc" `dirname src/base/test/test.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d -./depends.sh "gcc" `dirname src/base/pla/plaWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/pla/plaWrite.c +./depends.sh "gcc" `dirname src/base/pla/plaWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaRead.c ./depends.sh "gcc" `dirname src/base/pla/plaRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d -> ABC: `` Generating dependency: /src/base/pla/plaSimple.c ./depends.sh "gcc" `dirname src/base/pla/plaSimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaMerge.c +-> ABC: `` Generating dependency: /src/base/pla/plaMan.c ./depends.sh "gcc" `dirname src/base/pla/plaMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/pla/plaMan.c ./depends.sh "gcc" `dirname src/base/pla/plaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d -> ABC: `` Generating dependency: /src/base/pla/plaHash.c -./depends.sh "gcc" `dirname src/base/pla/plaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/pla/plaCom.c +./depends.sh "gcc" `dirname src/base/pla/plaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d ./depends.sh "gcc" `dirname src/base/pla/plaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c ./depends.sh "gcc" `dirname src/base/cba/cbaWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c ./depends.sh "gcc" `dirname src/base/cba/cbaWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d -> ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c @@ -5434,179 +5471,179 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c ./depends.sh "gcc" `dirname src/base/cba/cbaReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cba/cbaNtk.c -./depends.sh "gcc" `dirname src/base/cba/cbaNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/cba/cbaNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d -> ABC: `` Generating dependency: /src/base/cba/cbaCom.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/cba/cbaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d -> ABC: `` Generating dependency: /src/base/cba/cbaCba.c ./depends.sh "gcc" `dirname src/base/cba/cbaCba.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d -> ABC: `` Generating dependency: /src/base/cba/cbaBlast.c ./depends.sh "gcc" `dirname src/base/cba/cbaBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d -./depends.sh "gcc" `dirname src/base/cba/cbaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c --> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c ./depends.sh "gcc" `dirname src/base/bac/bacWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadVer.c --> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d +-> ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c ./depends.sh "gcc" `dirname src/base/bac/bacReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/bac/bacReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c ./depends.sh "gcc" `dirname src/base/bac/bacPtrAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPtr.c ./depends.sh "gcc" `dirname src/base/bac/bacPtr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c ./depends.sh "gcc" `dirname src/base/bac/bacPrsTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c ./depends.sh "gcc" `dirname src/base/bac/bacPrsBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c > src/base/bac/bacPrsBuild.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacNtk.c ./depends.sh "gcc" `dirname src/base/bac/bacNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacLib.c ./depends.sh "gcc" `dirname src/base/bac/bacLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacCom.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/bac/bacCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/bac/bacBac.c ./depends.sh "gcc" `dirname src/base/bac/bacBac.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d -> ABC: `` Generating dependency: /src/base/bac/bacBlast.c ./depends.sh "gcc" `dirname src/base/bac/bacBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbUtil.c ./depends.sh "gcc" `dirname src/base/acb/acbUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbTest.c ./depends.sh "gcc" `dirname src/base/acb/acbTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c > src/base/acb/acbTest.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbSets.c ./depends.sh "gcc" `dirname src/base/acb/acbSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d -> ABC: `` Generating dependency: /src/base/acb/acbPush.c ./depends.sh "gcc" `dirname src/base/acb/acbPush.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbMfs.c ./depends.sh "gcc" `dirname src/base/acb/acbMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d -> ABC: `` Generating dependency: /src/base/acb/acbFunc.c ./depends.sh "gcc" `dirname src/base/acb/acbFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbCom.c -./depends.sh "gcc" `dirname src/base/acb/acbCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/acb/acbAig.c -./depends.sh "gcc" `dirname src/base/acb/acbAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/acb/acbCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d +./depends.sh "gcc" `dirname src/base/acb/acbAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d -> ABC: `` Generating dependency: /src/base/acb/acbAbc.c ./depends.sh "gcc" `dirname src/base/acb/acbAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c +-> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c ./depends.sh "gcc" `dirname src/base/wln/wlnWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/wln/wlnWlc.c ./depends.sh "gcc" `dirname src/base/wln/wlnWlc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRtl.c ./depends.sh "gcc" `dirname src/base/wln/wlnRtl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRtl.c > src/base/wln/wlnRtl.d -> ABC: `` Generating dependency: /src/base/wln/wlnRetime.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnRead.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRead.c > src/base/wln/wlnRead.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnObj.c ./depends.sh "gcc" `dirname src/base/wln/wlnObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnNtk.c --> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c ./depends.sh "gcc" `dirname src/base/wln/wlnNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d -./depends.sh "gcc" `dirname src/base/wln/wlnNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d +-> ABC: `` Generating dependency: /src/base/wln/wlnNdr.c -> ABC: `` Generating dependency: /src/base/wln/wlnMem.c -./depends.sh "gcc" `dirname src/base/wln/wlnMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/wln/wlnNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/wln/wlnMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d -> ABC: `` Generating dependency: /src/base/wln/wlnGuide.c ./depends.sh "gcc" `dirname src/base/wln/wlnGuide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c > src/base/wln/wlnGuide.d -> ABC: `` Generating dependency: /src/base/wln/wlnCom.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/wln/wlnCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c > src/base/wln/wlnCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wlnBlast.c ./depends.sh "gcc" `dirname src/base/wln/wlnBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c > src/base/wln/wlnBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wln/wln.c ./depends.sh "gcc" `dirname src/base/wln/wln.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c ./depends.sh "gcc" `dirname src/base/wlc/wlcWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d -> ABC: `` Generating dependency: /src/base/wlc/wlcWin.c -./depends.sh "gcc" `dirname src/base/wlc/wlcWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d -> ABC: `` Generating dependency: /src/base/wlc/wlcUif.c -./depends.sh "gcc" `dirname src/base/wlc/wlcUif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/wlc/wlcWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d +./depends.sh "gcc" `dirname src/base/wlc/wlcUif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d -> ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c ./depends.sh "gcc" `dirname src/base/wlc/wlcStdin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcShow.c --> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c ./depends.sh "gcc" `dirname src/base/wlc/wlcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/wlc/wlcSim.c ./depends.sh "gcc" `dirname src/base/wlc/wlcSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c ./depends.sh "gcc" `dirname src/base/wlc/wlcReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c ./depends.sh "gcc" `dirname src/base/wlc/wlcReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c ./depends.sh "gcc" `dirname src/base/wlc/wlcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c ./depends.sh "gcc" `dirname src/base/wlc/wlcNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d -> ABC: `` Generating dependency: /src/base/wlc/wlcMem.c ./depends.sh "gcc" `dirname src/base/wlc/wlcMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcJson.c ./depends.sh "gcc" `dirname src/base/wlc/wlcJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c ./depends.sh "gcc" `dirname src/base/wlc/wlcGraft.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d -> ABC: `` Generating dependency: /src/base/wlc/wlcCom.c ./depends.sh "gcc" `dirname src/base/wlc/wlcCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c ./depends.sh "gcc" `dirname src/base/wlc/wlcBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcPth.c ./depends.sh "gcc" `dirname src/base/wlc/wlcPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c ./depends.sh "gcc" `dirname src/base/wlc/wlcAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c @@ -5615,220 +5652,220 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c ./depends.sh "gcc" `dirname src/base/wlc/wlcAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verStream.c ./depends.sh "gcc" `dirname src/base/ver/verStream.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verParse.c ./depends.sh "gcc" `dirname src/base/ver/verParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verFormula.c ./depends.sh "gcc" `dirname src/base/ver/verFormula.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/ver/verCore.c ./depends.sh "gcc" `dirname src/base/ver/verCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorUtil.c ./depends.sh "gcc" `dirname src/base/exor/exorUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorList.c ./depends.sh "gcc" `dirname src/base/exor/exorList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorLink.c ./depends.sh "gcc" `dirname src/base/exor/exorLink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exorCubes.c ./depends.sh "gcc" `dirname src/base/exor/exorCubes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d -> ABC: `` Generating dependency: /src/base/exor/exorBits.c ./depends.sh "gcc" `dirname src/base/exor/exorBits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/exor/exor.c ./depends.sh "gcc" `dirname src/base/exor/exor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainUtils.c ./depends.sh "gcc" `dirname src/base/main/mainUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d -> ABC: `` Generating dependency: /src/base/main/libSupport.c -./depends.sh "gcc" `dirname src/base/main/libSupport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/main/mainReal.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/base/main/mainReal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/main/libSupport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d +./depends.sh "gcc" `dirname src/base/main/mainReal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d -> ABC: `` Generating dependency: /src/base/main/mainLib.c ./depends.sh "gcc" `dirname src/base/main/mainLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d -> ABC: `` Generating dependency: /src/base/main/mainInit.c --> ABC: `` Generating dependency: /src/base/main/mainFrame.c ./depends.sh "gcc" `dirname src/base/main/mainInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/main/mainFrame.c ./depends.sh "gcc" `dirname src/base/main/mainFrame.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d -> ABC: `` Generating dependency: /src/base/main/main.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/main/main.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c ./depends.sh "gcc" `dirname src/base/io/ioWriteSmv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioWritePla.c ./depends.sh "gcc" `dirname src/base/io/ioWriteVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioWritePla.c ./depends.sh "gcc" `dirname src/base/io/ioWritePla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d -> ABC: `` Generating dependency: /src/base/io/ioWriteList.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/base/io/ioWriteList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteGml.c +./depends.sh "gcc" `dirname src/base/io/ioWriteList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d ./depends.sh "gcc" `dirname src/base/io/ioWriteGml.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEdgelist.c ./depends.sh "gcc" `dirname src/base/io/ioWriteEdgelist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEdgelist.c > src/base/io/ioWriteEdgelist.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c ./depends.sh "gcc" `dirname src/base/io/ioWriteEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d --> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/base/io/ioWriteDot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d +-> ABC: `` Generating dependency: /src/base/io/ioWriteDot.c -> ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c +./depends.sh "gcc" `dirname src/base/io/ioWriteDot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d ./depends.sh "gcc" `dirname src/base/io/ioWriteCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBook.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBook.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBench.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d -> ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c ./depends.sh "gcc" `dirname src/base/io/ioWriteBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c -./depends.sh "gcc" `dirname src/base/io/ioWriteAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioUtil.c +./depends.sh "gcc" `dirname src/base/io/ioWriteAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c ./depends.sh "gcc" `dirname src/base/io/ioUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d +-> ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c ./depends.sh "gcc" `dirname src/base/io/ioReadVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c -./depends.sh "gcc" `dirname src/base/io/ioReadPlaMo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadPla.c +./depends.sh "gcc" `dirname src/base/io/ioReadPlaMo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/ioReadPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadEqn.c -./depends.sh "gcc" `dirname src/base/io/ioReadEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/io/ioReadEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d -> ABC: `` Generating dependency: /src/base/io/ioReadEdif.c ./depends.sh "gcc" `dirname src/base/io/ioReadEdif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d -> ABC: `` Generating dependency: /src/base/io/ioReadDsd.c ./depends.sh "gcc" `dirname src/base/io/ioReadDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c ./depends.sh "gcc" `dirname src/base/io/ioReadBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c -./depends.sh "gcc" `dirname src/base/io/ioReadBlifAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBlif.c +./depends.sh "gcc" `dirname src/base/io/ioReadBlifAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d ./depends.sh "gcc" `dirname src/base/io/ioReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d -> ABC: `` Generating dependency: /src/base/io/ioReadBench.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/ioReadBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBblif.c ./depends.sh "gcc" `dirname src/base/io/ioReadBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioReadBaf.c ./depends.sh "gcc" `dirname src/base/io/ioReadBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d -> ABC: `` Generating dependency: /src/base/io/ioReadAiger.c -./depends.sh "gcc" `dirname src/base/io/ioReadAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/ioJson.c +./depends.sh "gcc" `dirname src/base/io/ioReadAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d ./depends.sh "gcc" `dirname src/base/io/ioJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/io/io.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/io/io.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d -> ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c ./depends.sh "gcc" `dirname src/base/cmd/cmdUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c ./depends.sh "gcc" `dirname src/base/cmd/cmdStarter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c ./depends.sh "gcc" `dirname src/base/cmd/cmdPlugin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d --> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c -./depends.sh "gcc" `dirname src/base/cmd/cmdLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c -./depends.sh "gcc" `dirname src/base/cmd/cmdHist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c +-> ABC: `` Generating dependency: /src/base/cmd/cmdHist.c +./depends.sh "gcc" `dirname src/base/cmd/cmdHist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d +./depends.sh "gcc" `dirname src/base/cmd/cmdLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d -> ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c ./depends.sh "gcc" `dirname src/base/cmd/cmdFlag.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c --> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c ./depends.sh "gcc" `dirname src/base/cmd/cmdAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d --> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c +-> ABC: `` Generating dependency: /src/base/cmd/cmdApi.c ./depends.sh "gcc" `dirname src/base/cmd/cmdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d -./depends.sh "gcc" `dirname src/base/cmd/cmdAlias.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c +./depends.sh "gcc" `dirname src/base/cmd/cmdAlias.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/cmd/cmd.c --> ABC: `` Generating dependency: /src/base/abci/abcXsim.c ./depends.sh "gcc" `dirname src/base/cmd/cmd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d -./depends.sh "gcc" `dirname src/base/abci/abcXsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcXsim.c +./depends.sh "gcc" `dirname src/base/abci/abcXsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcVerify.c ./depends.sh "gcc" `dirname src/base/abci/abcVerify.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnreach.c ./depends.sh "gcc" `dirname src/base/abci/abcUnreach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcUnate.c ./depends.sh "gcc" `dirname src/base/abci/abcUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcTiming.c ./depends.sh "gcc" `dirname src/base/abci/abcTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d --> ABC: `` Generating dependency: /src/base/abci/abcTim.c -./depends.sh "gcc" `dirname src/base/abci/abcTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcTim.c -> ABC: `` Generating dependency: /src/base/abci/abcSymm.c +./depends.sh "gcc" `dirname src/base/abci/abcTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d ./depends.sh "gcc" `dirname src/base/abci/abcSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d -> ABC: `` Generating dependency: /src/base/abci/abcSweep.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d -> ABC: `` Generating dependency: /src/base/abci/abcStrash.c ./depends.sh "gcc" `dirname src/base/abci/abcStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c ./depends.sh "gcc" `dirname src/base/abci/abcSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSense.c ./depends.sh "gcc" `dirname src/base/abci/abcSense.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcScorr.c ./depends.sh "gcc" `dirname src/base/abci/abcScorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcSaucy.c ./depends.sh "gcc" `dirname src/base/abci/abcSaucy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d -> ABC: `` Generating dependency: /src/base/abci/abcSat.c @@ -5836,35 +5873,36 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRunGen.c ./depends.sh "gcc" `dirname src/base/abci/abcRunGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d +-> ABC: `` Generating dependency: /src/base/abci/abcRr.c +-> ABC: `` Generating dependency: /src/base/abci/abcRpo.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcRr.c +-> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c ./depends.sh "gcc" `dirname src/base/abci/abcRr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcRpo.c ./depends.sh "gcc" `dirname src/base/abci/abcRpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d --> ABC: `` Generating dependency: /src/base/abci/abcRewrite.c ./depends.sh "gcc" `dirname src/base/abci/abcRewrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcResub.c ./depends.sh "gcc" `dirname src/base/abci/abcResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRestruct.c +./depends.sh "gcc" `dirname src/base/abci/abcRestruct.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReorder.c -./depends.sh "gcc" `dirname src/base/abci/abcRestruct.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d ./depends.sh "gcc" `dirname src/base/abci/abcReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRenode.c ./depends.sh "gcc" `dirname src/base/abci/abcRenode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRefactor.c ./depends.sh "gcc" `dirname src/base/abci/abcRefactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d -> ABC: `` Generating dependency: /src/base/abci/abcReach.c ./depends.sh "gcc" `dirname src/base/abci/abcReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcReconv.c ./depends.sh "gcc" `dirname src/base/abci/abcReconv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcRec3.c ./depends.sh "gcc" `dirname src/base/abci/abcRec3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -5873,44 +5911,41 @@ ./depends.sh "gcc" `dirname src/base/abci/abcQuant.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcQbf.c ./depends.sh "gcc" `dirname src/base/abci/abcQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcProve.c ./depends.sh "gcc" `dirname src/base/abci/abcProve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPrint.c ./depends.sh "gcc" `dirname src/base/abci/abcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcPart.c -./depends.sh "gcc" `dirname src/base/abci/abcPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOrder.c +./depends.sh "gcc" `dirname src/base/abci/abcPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d ./depends.sh "gcc" `dirname src/base/abci/abcOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcOdc.c ./depends.sh "gcc" `dirname src/base/abci/abcOdc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c --> ABC: `` Generating dependency: /src/base/abci/abcNpn.c ./depends.sh "gcc" `dirname src/base/abci/abcNpnSave.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d +-> ABC: `` Generating dependency: /src/base/abci/abcNpn.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c ./depends.sh "gcc" `dirname src/base/abci/abcNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcMulti.c ./depends.sh "gcc" `dirname src/base/abci/abcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d --> ABC: `` Generating dependency: /src/base/abci/abcMiter.c -./depends.sh "gcc" `dirname src/base/abci/abcMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d --> ABC: `` Generating dependency: /src/base/abci/abcMini.c -./depends.sh "gcc" `dirname src/base/abci/abcMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcMiter.c +./depends.sh "gcc" `dirname src/base/abci/abcMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcMini.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abci/abcMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d -> ABC: `` Generating dependency: /src/base/abci/abcMfs.c ./depends.sh "gcc" `dirname src/base/abci/abcMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d -> ABC: `` Generating dependency: /src/base/abci/abcMerge.c @@ -5919,92 +5954,94 @@ ./depends.sh "gcc" `dirname src/base/abci/abcMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcLutmin.c ./depends.sh "gcc" `dirname src/base/abci/abcLutmin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcLut.c ./depends.sh "gcc" `dirname src/base/abci/abcLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d -> ABC: `` Generating dependency: /src/base/abci/abcLog.c ./depends.sh "gcc" `dirname src/base/abci/abcLog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d -> ABC: `` Generating dependency: /src/base/abci/abcIvy.c ./depends.sh "gcc" `dirname src/base/abci/abcIvy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcIfMux.c -./depends.sh "gcc" `dirname src/base/abci/abcIfMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abci/abcIfMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d -> ABC: `` Generating dependency: /src/base/abci/abcIfif.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcIfif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d -> ABC: `` Generating dependency: /src/base/abci/abcIf.c ./depends.sh "gcc" `dirname src/base/abci/abcIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcHaig.c ./depends.sh "gcc" `dirname src/base/abci/abcHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcGen.c ./depends.sh "gcc" `dirname src/base/abci/abcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d --> ABC: `` Generating dependency: /src/base/abci/abcFxu.c -./depends.sh "gcc" `dirname src/base/abci/abcFxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcFxu.c -> ABC: `` Generating dependency: /src/base/abci/abcFx.c +./depends.sh "gcc" `dirname src/base/abci/abcFxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d ./depends.sh "gcc" `dirname src/base/abci/abcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcFraig.c ./depends.sh "gcc" `dirname src/base/abci/abcFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExtract.c ./depends.sh "gcc" `dirname src/base/abci/abcExtract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcExact.c ./depends.sh "gcc" `dirname src/base/abci/abcExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d -> ABC: `` Generating dependency: /src/base/abci/abcEco.c ./depends.sh "gcc" `dirname src/base/abci/abcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abci/abcDsd.c -./depends.sh "gcc" `dirname src/base/abci/abcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abci/abcDsd.c +./depends.sh "gcc" `dirname src/base/abci/abcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d -> ABC: `` Generating dependency: /src/base/abci/abcDress3.c ./depends.sh "gcc" `dirname src/base/abci/abcDress3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d -> ABC: `` Generating dependency: /src/base/abci/abcDress2.c ./depends.sh "gcc" `dirname src/base/abci/abcDress2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDress.c -./depends.sh "gcc" `dirname src/base/abci/abcDress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d -> ABC: `` Generating dependency: /src/base/abci/abcDetect.c --> ABC: `` Generating dependency: /src/base/abci/abcDec.c +./depends.sh "gcc" `dirname src/base/abci/abcDress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abci/abcDetect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d +-> ABC: `` Generating dependency: /src/base/abci/abcDec.c ./depends.sh "gcc" `dirname src/base/abci/abcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDebug.c ./depends.sh "gcc" `dirname src/base/abci/abcDebug.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcDar.c ./depends.sh "gcc" `dirname src/base/abci/abcDar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCut.c ./depends.sh "gcc" `dirname src/base/abci/abcCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCollapse.c ./depends.sh "gcc" `dirname src/base/abci/abcCollapse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCascade.c ./depends.sh "gcc" `dirname src/base/abci/abcCascade.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcCas.c ./depends.sh "gcc" `dirname src/base/abci/abcCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBmc.c ./depends.sh "gcc" `dirname src/base/abci/abcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d -> ABC: `` Generating dependency: /src/base/abci/abcBm.c ./depends.sh "gcc" `dirname src/base/abci/abcBm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcBidec.c ./depends.sh "gcc" `dirname src/base/abci/abcBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6014,89 +6051,89 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAuto.c ./depends.sh "gcc" `dirname src/base/abci/abcAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abci/abcAttach.c --> ABC: `` Generating dependency: /src/base/abci/abc.c ./depends.sh "gcc" `dirname src/base/abci/abcAttach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d +-> ABC: `` Generating dependency: /src/base/abci/abc.c ./depends.sh "gcc" `dirname src/base/abci/abc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcUtil.c ./depends.sh "gcc" `dirname src/base/abc/abcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcSop.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcShow.c +-> ABC: `` Generating dependency: /src/base/abc/abcRefs.c ./depends.sh "gcc" `dirname src/base/abc/abcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/base/abc/abcRefs.c ./depends.sh "gcc" `dirname src/base/abc/abcRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcObj.c -./depends.sh "gcc" `dirname src/base/abc/abcObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d -> ABC: `` Generating dependency: /src/base/abc/abcNtk.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abc/abcObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d ./depends.sh "gcc" `dirname src/base/abc/abcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcNetlist.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ ./depends.sh "gcc" `dirname src/base/abc/abcNetlist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d -> ABC: `` Generating dependency: /src/base/abc/abcNames.c --> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c ./depends.sh "gcc" `dirname src/base/abc/abcNames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcMinBase.c ./depends.sh "gcc" `dirname src/base/abc/abcMinBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d -> ABC: `` Generating dependency: /src/base/abc/abcLib.c -./depends.sh "gcc" `dirname src/base/abc/abcLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abc/abcLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d -> ABC: `` Generating dependency: /src/base/abc/abcLatch.c ./depends.sh "gcc" `dirname src/base/abc/abcLatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHieNew.c ./depends.sh "gcc" `dirname src/base/abc/abcHieNew.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d --> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c -./depends.sh "gcc" `dirname src/base/abc/abcHieGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/base/abc/abcHieGia.c -> ABC: `` Generating dependency: /src/base/abc/abcHieCec.c ./depends.sh "gcc" `dirname src/base/abc/abcHieCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abc/abcHieGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcHie.c -./depends.sh "gcc" `dirname src/base/abc/abcHie.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d -> ABC: `` Generating dependency: /src/base/abc/abcFunc.c --> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abc/abcHie.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d ./depends.sh "gcc" `dirname src/base/abc/abcFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d -./depends.sh "gcc" `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d +-> ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c -> ABC: `` Generating dependency: /src/base/abc/abcFanio.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d ./depends.sh "gcc" `dirname src/base/abc/abcFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d -> ABC: `` Generating dependency: /src/base/abc/abcDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -./depends.sh "gcc" `dirname src/base/abc/abcDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/base/abc/abcDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d -> ABC: `` Generating dependency: /src/base/abc/abcCheck.c ./depends.sh "gcc" `dirname src/base/abc/abcCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c ./depends.sh "gcc" `dirname src/base/abc/abcBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c ./depends.sh "gcc" `dirname src/base/abc/abcBarBuf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/base/abc/abcAig.c ./depends.sh "gcc" `dirname src/base/abc/abcAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d -> ABC: `` Generating dependency: /src/aig/gia/giaTtopt.cpp ./depends.sh "gcc" `dirname src/aig/gia/giaTtopt.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp > src/aig/gia/giaTtopt.d --> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/aig/gia/giaTransduction.cpp ./depends.sh "gcc" `dirname src/aig/gia/giaTransduction.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp > src/aig/gia/giaTransduction.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/System2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/System2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp > src/sat/glucose2/System2.d +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/SimpSolver2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/SimpSolver2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp > src/sat/glucose2/SimpSolver2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6107,32 +6144,31 @@ ./depends.sh "gcc" `dirname src/sat/glucose2/Glucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp > src/sat/glucose2/Glucose2.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucoseCmd2.cpp ./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucoseCmd2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp > src/sat/glucose2/AbcGlucoseCmd2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose2/AbcGlucose2.cpp -./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Generating dependency: /src/sat/glucose/System.cpp +./depends.sh "gcc" `dirname src/sat/glucose2/AbcGlucose2.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp > src/sat/glucose2/AbcGlucose2.d ./depends.sh "gcc" `dirname src/sat/glucose/System.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp > src/sat/glucose/System.d -> ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp -./depends.sh "gcc" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d -> ABC: `` Generating dependency: /src/sat/glucose/Options.cpp --> ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp +./depends.sh "gcc" `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d ./depends.sh "gcc" `dirname src/sat/glucose/Options.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp > src/sat/glucose/Options.d -./depends.sh "gcc" `dirname src/sat/glucose/Glucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp -./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp +./depends.sh "gcc" `dirname src/sat/glucose/Glucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d +-> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp -> ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d ./depends.sh "gcc" `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: Using CC=gcc -> ABC: Using CXX=gcc -> ABC: Using AR=ar @@ -6148,187 +6184,187 @@ -> ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o -> ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o -> ABC: `` Compiling: /src/sat/glucose/Glucose.cpp -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o -> ABC: `` Compiling: /src/sat/glucose/Options.cpp -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o -> ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose/System.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucose2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucose2.cpp -o src/sat/glucose2/AbcGlucose2.o -> ABC: `` Compiling: /src/sat/glucose2/AbcGlucoseCmd2.cpp gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/AbcGlucoseCmd2.cpp -o src/sat/glucose2/AbcGlucoseCmd2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp --> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/sat/glucose2/System2.cpp --> ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose2/Glucose2.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Glucose2.cpp -o src/sat/glucose2/Glucose2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose2/Options2.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/Options2.cpp -o src/sat/glucose2/Options2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcAig.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o --> ABC: `` Compiling: /src/base/abc/abcBarBuf.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcBlifMv.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/sat/glucose/Glucose.cpp: In member function 'void abc::Gluco::Solver::printIncrementalStats()': -src/sat/glucose/Glucose.cpp:1189:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1189 | printf("c restarts : %ld\n", starts); +src/sat/glucose2/Glucose2.cpp: In member function 'void abc::Gluco2::Solver::printIncrementalStats()': +src/sat/glucose2/Glucose2.cpp:1371:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1371 | printf("c restarts : %ld\n", starts); | ~~^ ~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1190:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1190 | printf("c nb ReduceDB : %ld\n", nbReduceDB); +src/sat/glucose2/Glucose2.cpp:1372:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1372 | printf("c nb ReduceDB : %ld\n", nbReduceDB); | ~~^ ~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1191:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1191 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); +src/sat/glucose2/Glucose2.cpp:1373:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1373 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); | ~~^ ~~~~~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1192:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1192 | printf("c nb learnts DL2 : %ld\n", nbDL2); +src/sat/glucose2/Glucose2.cpp:1374:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1374 | printf("c nb learnts DL2 : %ld\n", nbDL2); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1193:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1193 | printf("c nb learnts size 2 : %ld\n", nbBin); +src/sat/glucose2/Glucose2.cpp:1375:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1375 | printf("c nb learnts size 2 : %ld\n", nbBin); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1194:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1194 | printf("c nb learnts size 1 : %ld\n", nbUn); +src/sat/glucose2/Glucose2.cpp:1376:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1376 | printf("c nb learnts size 1 : %ld\n", nbUn); | ~~^ ~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1196:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1196 | printf("c conflicts : %ld\n", conflicts); +src/sat/glucose2/Glucose2.cpp:1378:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1378 | printf("c conflicts : %ld\n", conflicts); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1197:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1197 | printf("c decisions : %ld\n", decisions); +src/sat/glucose2/Glucose2.cpp:1379:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1379 | printf("c decisions : %ld\n", decisions); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose/Glucose.cpp:1198:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1198 | printf("c propagations : %ld\n", propagations); +src/sat/glucose2/Glucose2.cpp:1380:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1380 | printf("c propagations : %ld\n", propagations); | ~~^ ~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp: In member function 'void abc::Gluco2::Solver::printIncrementalStats()': -src/sat/glucose2/Glucose2.cpp:1371:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1371 | printf("c restarts : %ld\n", starts); +src/sat/glucose/Glucose.cpp: In member function 'void abc::Gluco::Solver::printIncrementalStats()': +src/sat/glucose/Glucose.cpp:1189:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1189 | printf("c restarts : %ld\n", starts); | ~~^ ~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1372:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1372 | printf("c nb ReduceDB : %ld\n", nbReduceDB); +src/sat/glucose/Glucose.cpp:1190:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1190 | printf("c nb ReduceDB : %ld\n", nbReduceDB); | ~~^ ~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1373:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1373 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); +src/sat/glucose/Glucose.cpp:1191:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1191 | printf("c nb removed Clauses : %ld\n", nbRemovedClauses); | ~~^ ~~~~~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1374:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1374 | printf("c nb learnts DL2 : %ld\n", nbDL2); +src/sat/glucose/Glucose.cpp:1192:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1192 | printf("c nb learnts DL2 : %ld\n", nbDL2); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1375:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1375 | printf("c nb learnts size 2 : %ld\n", nbBin); +src/sat/glucose/Glucose.cpp:1193:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1193 | printf("c nb learnts size 2 : %ld\n", nbBin); | ~~^ ~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1376:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1376 | printf("c nb learnts size 1 : %ld\n", nbUn); +src/sat/glucose/Glucose.cpp:1194:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1194 | printf("c nb learnts size 1 : %ld\n", nbUn); | ~~^ ~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1378:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1378 | printf("c conflicts : %ld\n", conflicts); +src/sat/glucose/Glucose.cpp:1196:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1196 | printf("c conflicts : %ld\n", conflicts); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1379:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1379 | printf("c decisions : %ld\n", decisions); +src/sat/glucose/Glucose.cpp:1197:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1197 | printf("c decisions : %ld\n", decisions); | ~~^ ~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld -src/sat/glucose2/Glucose2.cpp:1380:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] - 1380 | printf("c propagations : %ld\n", propagations); +src/sat/glucose/Glucose.cpp:1198:39: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'int64_t' {aka 'long long int'} [-Wformat=] + 1198 | printf("c propagations : %ld\n", propagations); | ~~^ ~~~~~~~~~~~~ | | | | | int64_t {aka long long int} | long int | %lld +-> ABC: `` Compiling: /src/sat/glucose2/SimpSolver2.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/SimpSolver2.cpp -o src/sat/glucose2/SimpSolver2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/glucose2/System2.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose2/System2.cpp -o src/sat/glucose2/System2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaTransduction.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTransduction.cpp -o src/aig/gia/giaTransduction.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaTtopt.cpp +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTtopt.cpp -o src/aig/gia/giaTtopt.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcAig.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcBarBuf.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcBlifMv.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcCheck.c --> ABC: `` Compiling: /src/base/abc/abcDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abc/abcDfs.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abc/abcFanio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o @@ -6369,18 +6405,6 @@ -> ABC: `` Compiling: /src/base/abc/abcNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcObj.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcRefs.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcShow.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abc/abcSop.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPiNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -6403,6 +6427,8 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/base/abc/abcObj.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyPoNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -6422,6 +6448,7 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/base/abc/abcNames.c: In function 'abc::Abc_NtkAddDummyBoxNames(abc::Abc_Ntk_t_*)': src/base/abc/abcNames.c:125:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1999 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -6479,20 +6506,11 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ --> ABC: `` Compiling: /src/base/abc/abcUtil.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abc.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcAttach.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcAuto.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o +-> ABC: `` Compiling: /src/base/abc/abcRefs.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcBalance.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o +-> ABC: `` Compiling: /src/base/abc/abcShow.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/extra/extra.h:44, from src/base/abc/abcHieNew.c:28: @@ -6506,14 +6524,14 @@ src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ --> ABC: `` Compiling: /src/base/abci/abcBidec.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o +-> ABC: `` Compiling: /src/base/abc/abcSop.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcBm.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o +-> ABC: `` Compiling: /src/base/abc/abcUtil.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/abci/abcBmc.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o +-> ABC: `` Compiling: /src/base/abci/abc.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Au_ObjFaninId(abc::Au_Obj_t_*, int)', inlined from 'abc::Au_ObjFanin(abc::Au_Obj_t_*, int)' at src/base/abc/abcHieNew.c:169:92, @@ -6527,6 +6545,24 @@ src/base/abc/abcHieNew.c:61:28: note: while referencing 'Fanins' 61 | int Fanins[2]; // fanin literals | ^~~~~~ +-> ABC: `` Compiling: /src/base/abci/abcAttach.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcAuto.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcBalance.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcBidec.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcBm.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/abci/abcBmc.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6535,10 +6571,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCollapse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcDar.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6565,10 +6601,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcEco.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcExtract.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6580,10 +6616,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcFxu.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcHaig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6652,10 +6688,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQbf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcQuant.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcRec3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6727,13 +6763,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcUnreach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcVerify.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/abci/abcXsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6751,10 +6787,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdHist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': +src/base/abci/abcSaucy.c:2654:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] + 2654 | && s->clist && s->nextnon[-1] && s->prevnon + | ~~~~~~~~~~~~~^ -> ABC: `` Compiling: /src/base/cmd/cmdLoad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cmd/cmdPlugin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6769,13 +6809,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioJson.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o +gcc -o yosys -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBaf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadBblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6791,55 +6832,51 @@ -> ABC: `` Compiling: /src/base/io/ioReadBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/abci/abcSaucy.c: In function 'abc::saucy_alloc(abc::Abc_Ntk_t_*)': -src/base/abci/abcSaucy.c:2654:37: warning: '*_146' may be used uninitialized [-Wmaybe-uninitialized] - 2654 | && s->clist && s->nextnon[-1] && s->prevnon - | ~~~~~~~~~~~~~^ -> ABC: `` Compiling: /src/base/io/ioReadDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEdif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadPlaMo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioReadVerilog.c --> ABC: `` Compiling: /src/base/io/ioUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioUtil.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBaf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o --> ABC: `` Compiling: /src/base/io/ioWriteBblif.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/io/ioWriteBblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBench.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteBook.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteDot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6852,18 +6889,43 @@ -> ABC: `` Compiling: /src/base/io/ioWriteGml.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] + 492 | union yyalloc + | ^ +frontends/verilog/verilog_parser.tab.cc:1093:7: note: a different type is defined in another translation unit + 1093 | union yyalloc + | ^ +frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' + 494 | yy_state_t yyss_alloc; + | ^ +frontends/verilog/verilog_parser.tab.cc:1095:14: note: a field of same name but different type is defined in another translation unit + 1095 | yy_state_t yyss_alloc; + | ^ -> ABC: `` Compiling: /src/base/io/ioWriteList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWritePla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteVerilog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/io/ioWriteSmv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/io/ioWriteEdgelist.c: In function 'int abc::Io_NtkEdgelistWriteNodeGate(FILE*, Abc_Obj_t*, int, int)': +src/base/io/ioWriteEdgelist.c:678:29: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] + 678 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); + | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ + | | | + | long unsigned int abc::word {aka long long unsigned int} + | %llu +src/base/io/ioWriteEdgelist.c:693:25: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] + 693 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); + | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ + | | | + | long unsigned int abc::word {aka long long unsigned int} + | %llu -> ABC: `` Compiling: /src/base/main/main.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -6882,105 +6944,92 @@ -> ABC: `` Compiling: /src/base/main/libSupport.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/io/ioWriteEdgelist.c: In function 'int abc::Io_NtkEdgelistWriteNodeGate(FILE*, Abc_Obj_t*, int, int)': -src/base/io/ioWriteEdgelist.c:678:29: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] - 678 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); - | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ - | | | - | long unsigned int abc::word {aka long long unsigned int} - | %llu -src/base/io/ioWriteEdgelist.c:693:25: warning: format '%lu' expects argument of type 'long unsigned int', but argument 3 has type 'abc::word' {aka 'long long unsigned int'} [-Wformat=] - 693 | fprintf( pFile, " %lu", Mio_GateReadTruth(pGate) ); - | ~~^ ~~~~~~~~~~~~~~~~~~~~~~~~ - | | | - | long unsigned int abc::word {aka long long unsigned int} - | %llu -> ABC: `` Compiling: /src/base/main/mainUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorBits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorCubes.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorLink.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/base/main/mainReal.c: In function 'int abc::Abc_RealMain(int, char**)': -src/base/main/mainReal.c:141:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] - 141 | maxMb * (1llu << 20), /* soft limit */ - | ~~~~~~^~~~~~~~~~~~~~ -src/base/main/mainReal.c:142:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] - 142 | maxMb * (1llu << 20) /* hard limit */ - | ~~~~~~^~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/exor/exorList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/exor/exorUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /usr/include/stdio.h:964, + from src/base/exor/exor.h:44, + from src/base/exor/exorUtil.c:45: +In function 'fprintf', + inlined from 'abc::WriteResultIntoFile(char*)' at src/base/exor/exorUtil.c:192:16: +/usr/include/i386-linux-gnu/bits/stdio2.h:79:24: warning: argument 1 null where non-null expected [-Wnonnull] + 79 | return __fprintf_chk (__stream, __USE_FORTIFY_LEVEL - 1, __fmt, + | ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + 80 | __va_arg_pack ()); + | ~~~~~~~~~~~~~~~~~ +In file included from /usr/include/stdio.h:948: +/usr/include/i386-linux-gnu/bits/stdio2-decl.h: In function 'abc::WriteResultIntoFile(char*)': +/usr/include/i386-linux-gnu/bits/stdio2-decl.h:49:12: note: in a call to function '__fprintf_chk' declared 'nonnull' + 49 | extern int __fprintf_chk (FILE *__restrict __stream, int __flag, + | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/ver/verCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o --> ABC: `` Compiling: /src/base/ver/verFormula.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/ver/verFormula.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/base/main/mainReal.c: In function 'int abc::Abc_RealMain(int, char**)': +src/base/main/mainReal.c:141:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] + 141 | maxMb * (1llu << 20), /* soft limit */ + | ~~~~~~^~~~~~~~~~~~~~ +src/base/main/mainReal.c:142:27: warning: narrowing conversion of '(((long long unsigned int)maxMb) * (1 << 20))' from 'long long unsigned int' to 'rlim_t' {aka 'long unsigned int'} [-Wnarrowing] + 142 | maxMb * (1llu << 20) /* hard limit */ + | ~~~~~~^~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/ver/verParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/ver/verStream.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcAbs2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o --> ABC: `` Compiling: /src/base/wlc/wlcAbc.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcPth.c --> ABC: `` Compiling: /src/base/wlc/wlcBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcBlast.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /usr/include/stdio.h:964, - from src/base/exor/exor.h:44, - from src/base/exor/exorUtil.c:45: -In function 'fprintf', - inlined from 'abc::WriteResultIntoFile(char*)' at src/base/exor/exorUtil.c:192:16: -/usr/include/i386-linux-gnu/bits/stdio2.h:79:24: warning: argument 1 null where non-null expected [-Wnonnull] - 79 | return __fprintf_chk (__stream, __USE_FORTIFY_LEVEL - 1, __fmt, - | ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - 80 | __va_arg_pack ()); - | ~~~~~~~~~~~~~~~~~ -In file included from /usr/include/stdio.h:948: -/usr/include/i386-linux-gnu/bits/stdio2-decl.h: In function 'abc::WriteResultIntoFile(char*)': -/usr/include/i386-linux-gnu/bits/stdio2-decl.h:49:12: note: in a call to function '__fprintf_chk' declared 'nonnull' - 49 | extern int __fprintf_chk (FILE *__restrict __stream, int __flag, - | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/wlc/wlcCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcGraft.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcJson.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcNdr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o --> ABC: `` Compiling: /src/base/wlc/wlcNtk.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/wlc/wlcNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c @@ -6988,16 +7037,68 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o +frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] + 126 | enum yysymbol_kind_t + | +frontends/verilog/verilog_parser.tab.cc:361: note: an enum with different value name is defined in another translation unit + 361 | enum yysymbol_kind_t + | +frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit + 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ + | +frontends/verilog/verilog_parser.tab.cc:367: note: mismatching definition + 367 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ + | cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from /usr/include/string.h:548, + from ./src/aig/gia/gia.h:31, + from src/base/wlc/wlc.h:29, + from src/base/wlc/wlcNdr.c:21: +In function 'memcpy', + inlined from 'abc::Ndr_DataPushArray(abc::Ndr_Data_t_*, int, int, int*)' at ./src/aig/miniaig/ndr.h:209:11, + inlined from 'abc::Ndr_DataPushString(abc::Ndr_Data_t_*, int, int, char*)' at ./src/aig/miniaig/ndr.h:222:26, + inlined from 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]' at ./src/aig/miniaig/ndr.h:588:23: +/usr/include/i386-linux-gnu/bits/string_fortified.h:29:33: warning: 'memcpy' reading 8 bytes from a region of size 4 [-Wstringop-overread] + 29 | return __builtin___memcpy_chk (__dest, __src, __len, + | ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~ + 30 | __glibc_objsize0 (__dest)); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from src/base/wlc/wlcNdr.c:22: +./src/aig/miniaig/ndr.h: In function 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]': +./src/aig/miniaig/ndr.h:570:20: note: source object 'pFunc' of size 4 + 570 | static inline void Ndr_AddObject( void * pDesign, int ModuleId, + | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/wlc/wlcShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wlc/wlcStdin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/base/wlc/wlc.h:34, + from src/base/wlc/wlcReadVer.c:21: +In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', + inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at ./src/misc/util/utilTruth.h:1536:21, + inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)' at src/base/wlc/wlcReadVer.c:1001:40: +./src/misc/util/utilTruth.h:176:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 176 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } + | ~~~~~~^ +src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)': +src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 + 985 | int v, b, Value, nBits, nInts; + | ^~~~~ +In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', + inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at ./src/misc/util/utilTruth.h:1536:21, + inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)' at src/base/wlc/wlcReadVer.c:1001:40: +./src/misc/util/utilTruth.h:176:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 176 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } + | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)': +src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 + 985 | int v, b, Value, nBits, nInts; + | ^~~~~ -> ABC: `` Compiling: /src/base/wlc/wlcUif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7013,33 +7114,15 @@ -> ABC: `` Compiling: /src/base/wln/wlnBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnBlast.c -o src/base/wln/wlnBlast.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from /usr/include/string.h:548, - from ./src/aig/gia/gia.h:31, - from src/base/wlc/wlc.h:29, - from src/base/wlc/wlcNdr.c:21: -In function 'memcpy', - inlined from 'abc::Ndr_DataPushArray(abc::Ndr_Data_t_*, int, int, int*)' at ./src/aig/miniaig/ndr.h:209:11, - inlined from 'abc::Ndr_DataPushString(abc::Ndr_Data_t_*, int, int, char*)' at ./src/aig/miniaig/ndr.h:222:26, - inlined from 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]' at ./src/aig/miniaig/ndr.h:588:23: -/usr/include/i386-linux-gnu/bits/string_fortified.h:29:33: warning: 'memcpy' reading 8 bytes from a region of size 4 [-Wstringop-overread] - 29 | return __builtin___memcpy_chk (__dest, __src, __len, - | ~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~ - 30 | __glibc_objsize0 (__dest)); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from src/base/wlc/wlcNdr.c:22: -./src/aig/miniaig/ndr.h: In function 'abc::Ndr_AddObject(void*, int, int, int, int, int, int, int, int*, int, int*, char*) [clone .constprop.0]': -./src/aig/miniaig/ndr.h:570:20: note: source object 'pFunc' of size 4 - 570 | static inline void Ndr_AddObject( void * pDesign, int ModuleId, - | ^~~~~~~~~~~~~ -> ABC: `` Compiling: /src/base/wln/wlnCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnCom.c -o src/base/wln/wlnCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnGuide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnGuide.c -o src/base/wln/wlnGuide.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/wln/wlnNdr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7064,9 +7147,6 @@ -> ABC: `` Compiling: /src/base/wln/wlnWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbAbc.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from /usr/include/string.h:548, from ./src/aig/gia/gia.h:31, from src/base/wln/wln.h:29, @@ -7085,49 +7165,15 @@ ./src/aig/miniaig/ndr.h:570:20: note: source object 'pFunc' of size 4 570 | static inline void Ndr_AddObject( void * pDesign, int ModuleId, | ^~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/base/acb/acbAbc.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/base/wlc/wlc.h:34, - from src/base/wlc/wlcReadVer.c:21: -In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', - inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at ./src/misc/util/utilTruth.h:1536:21, - inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)' at src/base/wlc/wlcReadVer.c:1001:40: -./src/misc/util/utilTruth.h:176:75: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 176 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } - | ~~~~~~^ -src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)': -src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 - 985 | int v, b, Value, nBits, nInts; - | ^~~~~ -In function 'abc::Abc_TtSetHex(unsigned long long*, int, int)', - inlined from 'abc::Abc_TtReadHexNumber(unsigned long long*, char*)' at ./src/misc/util/utilTruth.h:1536:21, - inlined from 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)' at src/base/wlc/wlcReadVer.c:1001:40: -./src/misc/util/utilTruth.h:176:77: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 176 | static inline void Abc_TtSetHex( word * p, int k, int d ) { p[k>>4] |= (((word)d)<<((k<<2) & 63)); } - | ~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -src/base/wlc/wlcReadVer.c: In function 'abc::Wlc_PrsDerive(abc::Wlc_Prs_t_*)': -src/base/wlc/wlcReadVer.c:985:27: note: object 'Value' of size 4 - 985 | int v, b, Value, nBits, nInts; - | ^~~~~ --> ABC: `` Compiling: /src/base/acb/acbFunc.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbMfs.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbPush.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbSets.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/base/acb/acbTest.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/base/wln/wlnWriteVer.c:21: In function 'abc::Wln_ObjFanin(abc::Wln_Ntk_t_*, int, int)', inlined from 'abc::Wln_ObjFanin2(abc::Wln_Ntk_t_*, int)' at src/base/wln/wln.h:115:103, @@ -7177,6 +7223,21 @@ src/base/wln/wln.h:51:28: note: while referencing 'Array' 51 | union { int Array[2]; | ^~~~~ +-> ABC: `` Compiling: /src/base/acb/acbFunc.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbMfs.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbPush.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbSets.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/base/acb/acbTest.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbTest.c -o src/base/acb/acbTest.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/acb/acbUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7192,7 +7253,6 @@ -> ABC: `` Compiling: /src/base/bac/bacLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -gcc -o yosys -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_2584903a060.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 -> ABC: `` Compiling: /src/base/bac/bacNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7216,10 +7276,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/bac/bacWriteSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7234,10 +7294,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/base/cba/cbaReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7262,18 +7322,6 @@ -> ABC: `` Compiling: /src/base/pla/plaMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:492:7: warning: type 'union yyalloc' violates the C++ One Definition Rule [-Wodr] - 492 | union yyalloc - | ^ -frontends/verilog/verilog_parser.tab.cc:1093:7: note: a different type is defined in another translation unit - 1093 | union yyalloc - | ^ -frontends/rtlil/rtlil_parser.tab.cc:494:14: note: the first difference of corresponding definitions is field 'yyss_alloc' - 494 | yy_state_t yyss_alloc; - | ^ -frontends/verilog/verilog_parser.tab.cc:1095:14: note: a field of same name but different type is defined in another translation unit - 1095 | yy_state_t yyss_alloc; - | ^ -> ABC: `` Compiling: /src/base/pla/plaSimple.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7291,10 +7339,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperCreate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7306,10 +7354,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperMatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperRefs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7323,11 +7371,11 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTime.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTree.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mapper/mapperTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7339,10 +7387,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mio/mioFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7363,10 +7411,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superAnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/super/superGate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7384,10 +7432,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec07.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec08.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifDec10.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7408,10 +7456,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifLibLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7441,10 +7489,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifTune.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/if/ifUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/amap/amapCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7460,18 +7508,6 @@ -> ABC: `` Compiling: /src/map/amap/amapMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -frontends/rtlil/rtlil_parser.tab.cc:126: warning: type 'yysymbol_kind_t' violates the C++ One Definition Rule [-Wodr] - 126 | enum yysymbol_kind_t - | -frontends/verilog/verilog_parser.tab.cc:361: note: an enum with different value name is defined in another translation unit - 361 | enum yysymbol_kind_t - | -frontends/rtlil/rtlil_parser.tab.cc:132: note: name 'YYSYMBOL_TOK_ID' differs from name 'YYSYMBOL_TOK_STRING' defined in another translation unit - 132 | YYSYMBOL_TOK_ID = 3, /* TOK_ID */ - | -frontends/verilog/verilog_parser.tab.cc:367: note: mismatching definition - 367 | YYSYMBOL_TOK_STRING = 3, /* TOK_STRING */ - | -> ABC: `` Compiling: /src/map/amap/amapMatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7552,10 +7588,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7573,10 +7609,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmMig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmPre.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/map/mpm/mpmTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7597,24 +7633,12 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o --> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c -> ABC: `` Compiling: /src/misc/extra/extraUtilFile.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o --> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/misc/extra/extraUtilFile.c: In function 'abc::Extra_FileNameAppend(char*, char*)': src/misc/extra/extraUtilFile.c:150:25: warning: '%s' directive writing up to 499 bytes into a region of size between 1 and 500 [-Wformat-overflow=] @@ -7632,6 +7656,30 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/misc/extra/extraUtilGen.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from ./src/misc/vec/vec.h:29, + from src/misc/extra/extraUtilCube.c:25: +src/misc/extra/extraUtilCube.c: In function 'abc::Abc_EnumerateCubeStates()': +./src/misc/util/abc_global.h:264:50: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] + 264 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/13/cstdlib:79, + from /usr/include/c++/13/stdlib.h:36, + from src/misc/extra/extraUtilCube.c:22: +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^~~~~~ +-> ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7650,18 +7698,6 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilReader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from ./src/misc/vec/vec.h:29, - from src/misc/extra/extraUtilCube.c:25: -src/misc/extra/extraUtilCube.c: In function 'abc::Abc_EnumerateCubeStates()': -./src/misc/util/abc_global.h:264:50: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] - 264 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/13/cstdlib:79, - from /usr/include/c++/13/stdlib.h:36, - from src/misc/extra/extraUtilCube.c:22: -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) - | ^~~~~~ -> ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7671,8 +7707,6 @@ -> ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/misc/mvc/mvcApi.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o src/misc/extra/extraUtilUtil.c: In function 'int abc::Extra_UtilGetopt(int, char**, const char*)': src/misc/extra/extraUtilUtil.c:100:18: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 100 | register int c; @@ -7680,13 +7714,15 @@ src/misc/extra/extraUtilUtil.c:101:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 101 | register const char *place; | ^~~~~ +-> ABC: `` Compiling: /src/misc/mvc/mvcApi.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCompare.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcContain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcCover.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7695,19 +7731,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcDivide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcLits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7716,13 +7752,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mvc/mvcPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o --> ABC: `` Compiling: /src/misc/mvc/mvcSort.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/misc/mvc/mvcSort.c -> ABC: `` Compiling: /src/misc/mvc/mvcUtils.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/st/st.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7735,6 +7771,24 @@ -> ABC: `` Compiling: /src/misc/util/utilCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 + 2560 | int i, j, k, n = 4; + | ^ +In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', + inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: +src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] + 2556 | printf( "%d", (int)((*pT >> i) & 1) ); + | ^~~ +src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': +src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 + 2560 | int i, j, k, n = 4; + | ^ -> ABC: `` Compiling: /src/misc/util/utilColor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7743,10 +7797,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilNam.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/util/utilSignal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7767,19 +7821,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/tim/timTrav.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/mem/mem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bar/bar.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/misc/bbl/bblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7791,28 +7845,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2568:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:12: note: object 'j' of size 4 - 2560 | int i, j, k, n = 4; - | ^ -In function 'abc::Extra_NtkPrintBin(unsigned long long*, int)', - inlined from 'abc::Extra_NtkPowerTest()' at src/misc/extra/extraUtilMisc.c:2567:26: -src/misc/extra/extraUtilMisc.c:2556:30: warning: array subscript 'word[0]' is partly outside array bounds of 'int[1]' [-Warray-bounds=] - 2556 | printf( "%d", (int)((*pT >> i) & 1) ); - | ^~~ -src/misc/extra/extraUtilMisc.c: In function 'abc::Extra_NtkPowerTest()': -src/misc/extra/extraUtilMisc.c:2560:9: note: object 'i' of size 4 - 2560 | int i, j, k, n = 4; - | ^ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7821,13 +7857,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutNode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutOracle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutPre22.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cut/cutSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7857,28 +7893,41 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuReduce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuSingle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/Fxch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from ./src/misc/vec/vec.h:29, + from ./src/base/abc/abc.h:34, + from src/opt/fxu/fxuReduce.c:19: +src/opt/fxu/fxuReduce.c: In function 'abc::Fxu_PreprocessCubePairs(abc::FxuMatrix*, abc::Vec_Ptr_t_*, int, int)': +./src/misc/util/abc_global.h:264:50: warning: argument 1 value '4294967294' exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] + 264 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/13/cstdlib:79, + from /usr/include/c++/13/stdlib.h:36, + from ./src/base/abc/abc.h:30: +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^~~~~~ -> ABC: `` Compiling: /src/opt/fxch/FxchDiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7903,35 +7952,22 @@ -> ABC: `` Compiling: /src/opt/rwr/rwrUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from ./src/misc/vec/vec.h:29, - from ./src/base/abc/abc.h:34, - from src/opt/fxu/fxuReduce.c:19: -src/opt/fxu/fxuReduce.c: In function 'abc::Fxu_PreprocessCubePairs(abc::FxuMatrix*, abc::Vec_Ptr_t_*, int, int)': -./src/misc/util/abc_global.h:264:50: warning: argument 1 value '4294967294' exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] - 264 | #define ABC_CALLOC(type, num) ((type *) calloc((size_t)(num), sizeof(type))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/13/cstdlib:79, - from /usr/include/c++/13/stdlib.h:36, - from ./src/base/abc/abc.h:30: -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) - | ^~~~~~ -> ABC: `` Compiling: /src/opt/mfs/mfsCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsDiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsSat.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/mfs/mfsStrash.c @@ -7942,16 +7978,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSym.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7962,11 +7998,11 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simSymStr.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sim/simUtils.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retArea.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7978,13 +8014,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retFlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retIncrem.c --> ABC: `` Compiling: /src/opt/ret/retInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/ret/retInit.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/ret/retLvalue.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -7999,25 +8035,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/fret/fretTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resDivs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/res/resWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8028,11 +8064,11 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8046,11 +8082,11 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMulti.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkMux.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/lpk/lpkSets.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8068,8 +8104,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkFanio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkFlow.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMan.c @@ -8077,10 +8113,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/nwk/nwkObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8110,16 +8146,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o --> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/cgt/cgtDecide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/cgt/cgtSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8127,26 +8163,26 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/csw/cswMan.c --> ABC: `` Compiling: /src/opt/csw/cswTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/csw/cswTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o --> ABC: `` Compiling: /src/opt/dar/darCut.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/dar/darCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darData.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8155,10 +8191,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darRefact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dar/darScript.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/dau/dauCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8195,11 +8231,11 @@ -> ABC: `` Compiling: /src/opt/dau/dauTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/opt/sfm/sfmArea.c -> ABC: `` Compiling: /src/opt/dsc/dsc.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/opt/sfm/sfmArea.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o @@ -8212,10 +8248,30 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/opt/sfm/sfmNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': +src/opt/dau/dauTree.c:1492:22: warning: array subscript i_40 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] + 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); + | ~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' + 36 | unsigned char pFans[0]; // fanins + | ^~~~~ +src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': +src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] + 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' + 48 | unsigned char pShared[0]; // shared literals + | ^~~~~~~ +src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] + 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); + | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ +src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' + 48 | unsigned char pShared[0]; // shared literals + | ^~~~~~~ -> ABC: `` Compiling: /src/opt/sfm/sfmSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8255,29 +8311,9 @@ -> ABC: `` Compiling: /src/opt/sbd/sbdWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/opt/dau/dauTree.c: In function 'abc::Dss_ManBooleanAnd(abc::Dss_Man_t_*, abc::Dss_Ent_t_*, int)': -src/opt/dau/dauTree.c:1492:22: warning: array subscript i_40 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] - 1492 | pFun->pFans[i] = (unsigned char)Abc_Lit2LitV( pMapDsd2Truth, pPermDsd[i] ); - | ~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:36:20: note: while referencing 'pFans' - 36 | unsigned char pFans[0]; // fanins - | ^~~~~ -> ABC: `` Compiling: /src/sat/bsat/satMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/opt/dau/dauTree.c: In function 'abc::Dss_ManSharedMap(abc::Dss_Man_t_*, int*, int*, int**, unsigned int)': -src/opt/dau/dauTree.c:1528:48: warning: array subscript _22 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] - 1528 | pEnt->pShared[2*pEnt->nShared+0] = (unsigned char)i; - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' - 48 | unsigned char pShared[0]; // shared literals - | ^~~~~~~ -src/opt/dau/dauTree.c:1529:48: warning: array subscript _27 is outside array bounds of 'unsigned char[4294967296]' [-Warray-bounds=] - 1529 | pEnt->pShared[2*pEnt->nShared+1] = (unsigned char)Abc_LitNotCond( pMapGtoL[g], Abc_LitIsCompl(pFans[1][i]) ); - | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^ -src/opt/dau/dauTree.c:48:20: note: while referencing 'pShared' - 48 | unsigned char pShared[0]; // shared literals - | ^~~~~~~ -> ABC: `` Compiling: /src/sat/bsat/satInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8286,40 +8322,40 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterB.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satInterP.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satProof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satSolver2i.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o -> ABC: `` Compiling: /src/sat/bsat/satSolver3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satStore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTrace.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bsat/satUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8331,7 +8367,6 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/satoko/solver_api.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/xsat/xsatCnfReader.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] @@ -8340,6 +8375,7 @@ | | | | long int abc::iword {aka long long int} | %10lld +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/sat/xsat/xsatSolver.h:228:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] 228 | printf( "decisions : %10ld\n", s->Stats.nDecisions ); | ~~~~^ ~~~~~~~~~~~~~~~~~~~ @@ -8352,9 +8388,6 @@ | | | | long int abc::iword {aka long long int} | %10lld --> ABC: `` Compiling: /src/sat/satoko/cnf_reader.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/xsat/xsatSolver.c:30: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] @@ -8375,9 +8408,6 @@ | | | | long int abc::iword {aka long long int} | %10lld --> ABC: `` Compiling: /src/sat/csat/csat_apis.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from src/sat/xsat/xsatSolverAPI.c:29: src/sat/xsat/xsatSolver.h: In function 'void abc::xSAT_SolverPrintState(xSAT_Solver_t*)': src/sat/xsat/xsatSolver.h:227:34: warning: format '%ld' expects argument of type 'long int', but argument 2 has type 'abc::iword' {aka 'long long int'} [-Wformat=] @@ -8417,6 +8447,12 @@ | | | | long int abc::iword {aka long long int} | %10lld +-> ABC: `` Compiling: /src/sat/satoko/cnf_reader.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/csat/csat_apis.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatActivity.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8431,10 +8467,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatOrderH.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatQueue.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8455,10 +8491,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/msat/msatVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8470,22 +8506,22 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfPost.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/cnf/cnfWrite.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBCore.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8524,8 +8560,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o --> ABC: `` Compiling: /src/sat/bmc/bmcChain.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/sat/bmc/bmcChain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcClp.c @@ -8545,19 +8581,19 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcICheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcInse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcLoad.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8569,10 +8605,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/sat/bmc/bmcMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8596,10 +8632,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decFactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/dec/decPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8627,14 +8663,26 @@ -> ABC: `` Compiling: /src/bool/kit/kitGraph.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/bool/bdc/bdcSpfd.c: In function 'abc::Bdc_SpfdDecomposeTest__(abc::Vec_Int_t_**)': +src/bool/bdc/bdcSpfd.c:602:28: warning: product '250000000 * 24' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] + 602 | p = (Bdc_Ent_t *)calloc( nFuncs, sizeof(Bdc_Ent_t) ); + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/13/cstdlib:79, + from /usr/include/c++/13/stdlib.h:36, + from ./src/bool/kit/kit.h:30, + from src/bool/bdc/bdcInt.h:29, + from src/bool/bdc/bdcSpfd.c:21: +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^~~~~~ -> ABC: `` Compiling: /src/bool/kit/kitHop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitIsop.c --> ABC: `` Compiling: /src/bool/kit/kitPla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/kit/kitPla.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/kit/kitSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o @@ -8650,28 +8698,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckyFast6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o --> ABC: `` Compiling: /src/bool/lucky/luckyRead.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bool/lucky/luckyRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySimple.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/bool/bdc/bdcSpfd.c: In function 'abc::Bdc_SpfdDecomposeTest__(abc::Vec_Int_t_**)': -src/bool/bdc/bdcSpfd.c:602:28: warning: product '250000000 * 24' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] - 602 | p = (Bdc_Ent_t *)calloc( nFuncs, sizeof(Bdc_Ent_t) ); - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/13/cstdlib:79, - from /usr/include/c++/13/stdlib.h:36, - from ./src/bool/kit/kit.h:30, - from src/bool/bdc/bdcInt.h:29, - from src/bool/bdc/bdcSpfd.c:21: -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) - | ^~~~~~ -> ABC: `` Compiling: /src/bool/lucky/luckySwap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8684,27 +8720,63 @@ -> ABC: `` Compiling: /src/bool/rpo/rpo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from src/bool/kit/kitDsd.c:21: +In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', + inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: +src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] + 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } + | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrIncr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrInv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrSat.c --> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from ./src/misc/vec/vec.h:29, + from ./src/aig/aig/aig.h:34, + from ./src/aig/saig/saig.h:29, + from src/proof/pdr/pdrInt.h:28, + from src/proof/pdr/pdrInv.c:21: +src/proof/pdr/pdrInv.c: In function 'abc::Pdr_InvPrintStr(abc::Vec_Int_t_*, abc::Vec_Int_t_*)': +./src/misc/util/abc_global.h:263:50: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] + 263 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) + | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +In file included from /usr/include/c++/13/cstdlib:79, + from /usr/include/c++/13/stdlib.h:36, + from ./src/aig/aig/aig.h:30: +/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here + 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ + | ^~~~~~ +-> ABC: `` Compiling: /src/proof/pdr/pdrTsim.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from ./src/misc/vec/vec.h:29, + from ./src/aig/aig/aig.h:34, + from ./src/aig/saig/saig.h:29, + from src/sat/bmc/bmc.h:29, + from src/sat/bmc/bmcMaj.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3093:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': +src/sat/bmc/bmcMaj.c:3082:10: note: 'Entry' declared here + 3082 | word Entry, Truths[100] = { 0x96, 0xE8 }; + | ^~~~~ -> ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8713,16 +8785,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/pdr/pdrUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o --> ABC: `` Compiling: /src/proof/abs/absDup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/abs/absDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absGlaOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absIter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8730,41 +8802,40 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldRef.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSat.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOldSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/abs/absOut.c --> ABC: `` Compiling: /src/proof/abs/absPth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3695:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here + 3693 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3698:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': +src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here + 3693 | word Entry; int i; + | ^~~~~ +-> ABC: `` Compiling: /src/proof/abs/absPth.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from src/bool/kit/kitDsd.c:21: -In function 'abc::Kit_DsdLitSupport(abc::Kit_DsdNtk_t_*, int)', - inlined from 'abc::Kit_DsdGetSupports(abc::Kit_DsdNtk_t_*)' at src/bool/kit/kitDsd.c:1779:52: -src/bool/kit/kit.h:156:203: warning: '*_54' may be used uninitialized [-Wmaybe-uninitialized] - 156 | static inline unsigned Kit_DsdLitSupport( Kit_DsdNtk_t * pNtk, int Lit ) { int Id = Abc_Lit2Var(Lit); assert( Id >= 0 && Id < pNtk->nVars + pNtk->nNodes ); return pNtk->pSupps? (Id < pNtk->nVars? (1 << Id) : pNtk->pSupps[Id - pNtk->nVars]) : 0; } - | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from ./src/misc/vec/vec.h:29, - from ./src/aig/aig/aig.h:34, - from ./src/aig/saig/saig.h:29, - from src/proof/pdr/pdrInt.h:28, - from src/proof/pdr/pdrInv.c:21: -src/proof/pdr/pdrInv.c: In function 'abc::Pdr_InvPrintStr(abc::Vec_Int_t_*, abc::Vec_Int_t_*)': -./src/misc/util/abc_global.h:263:50: warning: argument 1 range [2147483648, 4294967295] exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] - 263 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) - | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -In file included from /usr/include/c++/13/cstdlib:79, - from /usr/include/c++/13/stdlib.h:36, - from ./src/aig/aig/aig.h:30: -/usr/include/stdlib.h:672:14: note: in a call to allocation function 'malloc' declared here - 672 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ - | ^~~~~~ -> ABC: `` Compiling: /src/proof/abs/absRef.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8785,10 +8856,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/liveness_sim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/live/ltl_parser.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8814,14 +8885,21 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscCore.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o -> ABC: `` Compiling: /src/proof/ssc/sscSat.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssc/sscSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': +src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] + 1896 | if ( p->pPars->fVerbose && Status == -1 ) + | ~~~~~~~^~~~~ +src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here + 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; + | ^~~~~~ -> ABC: `` Compiling: /src/proof/ssc/sscUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8830,38 +8908,38 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intContain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intCtrex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intFrames.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intM114.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/int/intUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecChoice.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o --> ABC: `` Compiling: /src/proof/cec/cecClass.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/cec/cecClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecCore.c @@ -8870,36 +8948,21 @@ -> ABC: `` Compiling: /src/proof/cec/cecCorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from ./src/misc/vec/vec.h:29, - from ./src/aig/aig/aig.h:34, - from ./src/aig/saig/saig.h:29, - from src/sat/bmc/bmc.h:29, - from src/sat/bmc/bmcMaj.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)' at src/sat/bmc/bmcMaj.c:3093:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactSynthesis6_(abc::Bmc_EsPar_t_*, char*)': -src/sat/bmc/bmcMaj.c:3082:10: note: 'Entry' declared here - 3082 | word Entry, Truths[100] = { 0x96, 0xE8 }; - | ^~~~~ -> ABC: `` Compiling: /src/proof/cec/cecIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecPat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSatG2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG2.c -o src/proof/cec/cecSatG2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8911,16 +8974,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSim.c -o src/proof/cec/cecSim.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSolveG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolveG.c -o src/proof/cec/cecSolveG.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/cec/cecSynth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8938,40 +9001,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecBo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecRe.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3695:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here - 3693 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)' at src/sat/bmc/bmcMaj.c:3698:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/sat/bmc/bmcMaj.c: In function 'abc::Exa_ManExactPrint(abc::Vec_Wrd_t_*, abc::Vec_Wrd_t_*, int, int)': -src/sat/bmc/bmcMaj.c:3693:10: note: 'Entry' declared here - 3693 | word Entry; int i; - | ^~~~~ -> ABC: `` Compiling: /src/proof/acec/acecPa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/proof/abs/absGlaOld.c: In function 'abc::Gia_ManPerformGlaOld(abc::Gia_Man_t_*, abc::Abs_Par_t_*, int)': -src/proof/abs/absGlaOld.c:1896:43: warning: 'Status' may be used uninitialized [-Wmaybe-uninitialized] - 1896 | if ( p->pPars->fVerbose && Status == -1 ) - | ~~~~~~~^~~~~ -src/proof/abs/absGlaOld.c:1645:31: note: 'Status' declared here - 1645 | int f, i, iPrev, nConfls, Status, nVarsOld = 0, nCoreSize, fOneIsSent = 0, RetValue = -1; - | ^~~~~~ -> ABC: `` Compiling: /src/proof/acec/acecPo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -8985,10 +9021,10 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecMult.c --> ABC: `` Compiling: /src/proof/acec/acecNorm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/acec/acecNorm.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o @@ -8998,34 +9034,34 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecSt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o --> ABC: `` Compiling: /src/proof/acec/acecTree.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/acec/acecTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecUtil.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acec2Mult.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/acec/acecXor.c --> ABC: `` Compiling: /src/proof/dch/dchAig.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/dch/dchAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchChoice.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchClass.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCnf.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/dch/dchMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9049,6 +9085,7 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigFeed.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9056,21 +9093,20 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigMem.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigNode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigPrime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fraig/fraigUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9078,36 +9114,36 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraBmc.c --> ABC: `` Compiling: /src/proof/fra/fraCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClass.c -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClau.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraClaus.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraHot.c --> ABC: `` Compiling: /src/proof/fra/fraImp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/fra/fraImp.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraInd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraIndVer.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraLcr.c @@ -9121,13 +9157,13 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/fra/fraSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9147,23 +9183,23 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswDyn.c --> ABC: `` Compiling: /src/proof/ssw/sswFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswIslands.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswLcorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswPairs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o -> ABC: `` Compiling: /src/proof/ssw/sswRarity.c @@ -9180,68 +9216,68 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswSimSat.c --> ABC: `` Compiling: /src/proof/ssw/sswSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/proof/ssw/sswSweep.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/proof/ssw/sswUnique.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCheck.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCanon.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigCuts.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o --> ABC: `` Compiling: /src/aig/aig/aigDfs.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o --> ABC: `` Compiling: /src/aig/aig/aigDup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigFrames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o --> ABC: `` Compiling: /src/aig/aig/aigJust.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigJust.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMem.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigMffc.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigOper.c --> ABC: `` Compiling: /src/aig/aig/aigOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigOrder.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPack.c --> ABC: `` Compiling: /src/aig/aig/aigPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigPart.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o -> ABC: `` Compiling: /src/aig/aig/aigPartReg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigPartSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9259,25 +9295,25 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTable.c --> ABC: `` Compiling: /src/aig/aig/aigTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/aig/aigTiming.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigTsim.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigUtil.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/aig/aigWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9337,14 +9373,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSimSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o --> ABC: `` Compiling: /src/aig/saig/saigStrSim.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/saig/saigStrSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o --> ABC: `` Compiling: /src/aig/saig/saigSwitch.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/saig/saigSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigSynch.c @@ -9352,20 +9388,20 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTempor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigTrans.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/saig/saigWnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAgi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o --> ABC: `` Compiling: /src/aig/gia/giaAiger.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaAigerExt.c @@ -9388,14 +9424,14 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o --> ABC: `` Compiling: /src/aig/gia/giaClp.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaClp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o --> ABC: `` Compiling: /src/aig/gia/giaCone.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaCone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaCSatOld.c @@ -9427,8 +9463,8 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o --> ABC: `` Compiling: /src/aig/gia/giaDup.c cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEdge.c @@ -9436,10 +9472,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEmbed.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEnable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaEquiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9458,18 +9494,6 @@ -> ABC: `` Compiling: /src/aig/gia/giaFalse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaFanout.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaForce.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaFrames.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaFront.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In file included from ./src/misc/vec/vec.h:33, from src/aig/gia/gia.h:34, from src/aig/gia/giaEsop.c:21: @@ -9491,6 +9515,18 @@ ./src/misc/util/abc_global.h:269:26: note: at offset [-2147483644, -1] into destination object of size 16 allocated by 'malloc' 269 | ((type *) malloc(sizeof(type) * (size_t)(num)))) | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaFanout.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaForce.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaFrames.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaFront.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -9548,39 +9584,6 @@ -> ABC: `` Compiling: /src/aig/gia/giaMini.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMinLut.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaMuxes.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o --> ABC: `` Compiling: /src/aig/gia/giaNf.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaOf.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPack.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPat2.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaPf.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaQbf.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaReshape1.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:604:32, inlined from 'abc::Lf_ObjCutBest(abc::Lf_Man_t_*, int)' at src/aig/gia/giaLf.c:595:26: @@ -9611,81 +9614,13 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ --> ABC: `` Compiling: /src/aig/gia/giaReshape2.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub2.c --> ABC: `` Compiling: /src/aig/gia/giaResub3.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaMinLut.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut.c -o src/aig/gia/giaMinLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaResub6.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o +-> ABC: `` Compiling: /src/aig/gia/giaMinLut2.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMinLut2.c -o src/aig/gia/giaMinLut2.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: -src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: -src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: -src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: -src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: -src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ -In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', - inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, - inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: -src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); - | ~~~~~~~~~~~~~~~^ -src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': -src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' - 52 | int pLeaves[0]; // leaves - | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaMuxes.c src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); @@ -9812,6 +9747,7 @@ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ src/aig/gia/giaMan.c: In function 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)': +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o src/aig/gia/giaMan.c:1252:30: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1252 | sprintf( pBuffer, "%c%0*d%c", c, d, i, c ); | ^~~~ @@ -9956,7 +9892,6 @@ In function 'sprintf', inlined from 'abc::Gia_ObjGetDumpName(abc::Vec_Ptr_t_*, char, int, int)' at src/aig/gia/giaMan.c:1252:16, inlined from 'abc::Gia_ManDumpVerilog(abc::Gia_Man_t_*, char*, abc::Vec_Int_t_*, int)' at src/aig/gia/giaMan.c:1450:20: --> ABC: `` Compiling: /src/aig/gia/giaRetime.c /usr/include/i386-linux-gnu/bits/stdio2.h:30:34: note: '__sprintf_chk' output between 4 and 2147483650 bytes into a destination of size 10000 30 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ @@ -9964,10 +9899,69 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/aig/gia/giaRex.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: +src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: +src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1082:35: +src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_CutRef_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: +src/aig/gia/giaLf.c:583:20: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 583 | pCut->pLeaves[0] = Gia_ObjFaninId0( pMux, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: +src/aig/gia/giaLf.c:584:20: warning: array subscript 1 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 584 | pCut->pLeaves[1] = Gia_ObjFaninId1( pMux, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +In function 'abc::Lf_MemLoadMuxCut(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)', + inlined from 'abc::Lf_ObjCutBestNew(abc::Lf_Man_t_*, int, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:614:32, + inlined from 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)' at src/aig/gia/giaLf.c:1092:37: +src/aig/gia/giaLf.c:585:20: warning: array subscript 2 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 585 | pCut->pLeaves[2] = Gia_ObjFaninId2( p->pGia, iObj ); + | ~~~~~~~~~~~~~~~^ +src/aig/gia/giaLf.c: In function 'abc::Lf_CutDeref_rec(abc::Lf_Man_t_*, abc::Lf_Cut_t_*)': +src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' + 52 | int pLeaves[0]; // leaves + | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaNf.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ In function 'abc::Lf_CutCreateUnit(abc::Lf_Cut_t_*, int)', inlined from 'abc::Lf_ManPrepareSet(abc::Lf_Man_t_*, int, int, abc::Lf_Cut_t_**)' at src/aig/gia/giaLf.c:400:32, @@ -10039,12 +10033,64 @@ src/aig/gia/giaLf.c:52:21: note: while referencing 'pLeaves' 52 | int pLeaves[0]; // leaves | ^~~~~~~ +-> ABC: `` Compiling: /src/aig/gia/giaOf.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPack.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPat2.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat2.c -o src/aig/gia/giaPat2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaPf.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaQbf.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaReshape1.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape1.c -o src/aig/gia/giaReshape1.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaReshape2.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaReshape2.c -o src/aig/gia/giaReshape2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub2.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub2.c -o src/aig/gia/giaResub2.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub3.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub3.c -o src/aig/gia/giaResub3.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaResub6.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub6.c -o src/aig/gia/giaResub6.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaRetime.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/gia/giaRex.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatEdge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSatLE.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from ./src/sat/bsat/satSolver.h:29, + from ./src/sat/bsat/satStore.h:36, + from src/aig/gia/giaOf.c:30: +src/aig/gia/giaOf.c: In function 'abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)': +src/aig/gia/giaOf.c:1324:21: warning: 'Delays' may be used uninitialized [-Wmaybe-uninitialized] + 1324 | assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 ); + | ~~~~~~~~^ +src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here + 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; + | ^~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaSatLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10063,16 +10109,6 @@ -> ABC: `` Compiling: /src/aig/gia/giaScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from ./src/sat/bsat/satSolver.h:29, - from ./src/sat/bsat/satStore.h:36, - from src/aig/gia/giaOf.c:30: -src/aig/gia/giaOf.c: In function 'abc::Of_ManComputeForwardDirconCut(abc::Of_Man_t_*, int, int*, int*, int*)': -src/aig/gia/giaOf.c:1324:21: warning: 'Delays' may be used uninitialized [-Wmaybe-uninitialized] - 1324 | assert( Delays[0] >= 0 && Delays[nSize-1] >= 0 ); - | ~~~~~~~~^ -src/aig/gia/giaOf.c:1307:9: note: 'Delays' declared here - 1307 | int Delays[6], Perm[6] = {0, 1, 2, 3, 4, 5}; - | ^~~~~~ -> ABC: `` Compiling: /src/aig/gia/giaScript.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10083,11 +10119,11 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink6.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaShrink7.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/gia/giaSif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSif.c -o src/aig/gia/giaSif.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10158,10 +10194,10 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c --> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ioa/ioaUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o @@ -10179,10 +10215,10 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c --> ABC: `` Compiling: /src/aig/ivy/ivyDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/ivy/ivyDfs.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o -> ABC: `` Compiling: /src/aig/ivy/ivyDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10192,24 +10228,55 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyFraig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyHaig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o +src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': +src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ +src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 407 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', + inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: +src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 486 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ +In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', + inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: +src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] + 494 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); + | ~~~~~~~~~~~~~~~~^ +src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': +src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' + 52 | int pArray[0]; // the support nodes + | ^~~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/ivy/ivyOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10229,19 +10296,6 @@ -> ABC: `` Compiling: /src/aig/ivy/ivyTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapNodeArea2(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)': -src/aig/ivy/ivyFastMap.c:400:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 400 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -src/aig/ivy/ivyFastMap.c:407:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 407 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -> ABC: `` Compiling: /src/aig/ivy/ivyUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10256,63 +10310,68 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', - inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: -src/aig/ivy/ivyFastMap.c:486:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 486 | pSupp0->pArray[0] = Ivy_ObjFaninId0(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -In function 'abc::Ivy_FastMapNodeArea(abc::Ivy_Man_t_*, abc::Ivy_Obj_t_*, int)', - inlined from 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)' at src/aig/ivy/ivyFastMap.c:152:28: -src/aig/ivy/ivyFastMap.c:494:25: warning: array subscript 0 is outside array bounds of 'int[4294967296]' [-Warray-bounds=] - 494 | pSupp1->pArray[0] = Ivy_ObjFaninId1(pObj); - | ~~~~~~~~~~~~~~~~^ -src/aig/ivy/ivyFastMap.c: In function 'abc::Ivy_FastMapPerform(abc::Ivy_Man_t_*, int, int, int)': -src/aig/ivy/ivyFastMap.c:52:17: note: while referencing 'pArray' - 52 | int pArray[0]; // the support nodes - | ^~~~~~ -> ABC: `` Compiling: /src/aig/hop/hopOper.c --> ABC: `` Compiling: /src/aig/hop/hopTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/aig/hop/hopTable.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +In file included from ./src/misc/vec/vec.h:29, + from src/aig/gia/gia.h:34, + from src/aig/gia/giaSimBase.c:21: +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ +In function 'abc::Abc_InfoHasBit(unsigned int*, int)', + inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, + inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: +./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] + 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } + | ~~~~~~~~^ +src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': +src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here + 3586 | word Entry; int i; + | ^~~~~ -> ABC: `` Compiling: /src/aig/hop/hopTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/aig/hop/hopUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c --> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o @@ -10321,10 +10380,10 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c --> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddApa.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o @@ -10339,38 +10398,17 @@ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c --> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddClip.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddCof.c --> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddExport.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o --> ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddBddIte.c: In function 'int abc::bddVarToCanonical(DdManager*, DdNode**, DdNode**, DdNode**, unsigned int*, unsigned int*, unsigned int*)': src/bdd/cudd/cuddBddIte.c:1206:34: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1206 | register DdNode *F, *G, *H, *r, *f, *g, *h; @@ -10415,6 +10453,9 @@ src/bdd/cudd/cuddBddIte.c:1308:46: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 1308 | register DdNode *r, *f, *g, *h; | ^ +-> ABC: `` Compiling: /src/bdd/cudd/cuddCof.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddCache.c: In function 'void abc::cuddCacheInsert(DdManager*, ptruint, DdNode*, DdNode*, DdNode*, DdNode*)': src/bdd/cudd/cuddCache.c:232:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 232 | register DdCache *entry; @@ -10427,10 +10468,28 @@ src/bdd/cudd/cuddCache.c:331:23: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 331 | register DdCache *entry; | ^~~~~ +-> ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o +-> ABC: `` Compiling: /src/bdd/cudd/cuddExact.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddExport.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c @@ -10468,10 +10527,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddSign.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10490,28 +10549,19 @@ -> ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +src/bdd/cudd/cuddSat.c: In function 'abc::DdNode* abc::Cudd_LargestCube(DdManager*, DdNode*, int*)': +src/bdd/cudd/cuddSat.c:282:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] + 282 | register DdNode *F; + | ^ +src/bdd/cudd/cuddSat.c: In function 'int abc::Cudd_ShortestLength(DdManager*, DdNode*, int*)': +src/bdd/cudd/cuddSat.c:354:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] + 354 | register DdNode *F; + | ^ -> ABC: `` Compiling: /src/bdd/cudd/cuddTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o --> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -src/bdd/cudd/cuddSat.c: In function 'abc::DdNode* abc::Cudd_LargestCube(DdManager*, DdNode*, int*)': -src/bdd/cudd/cuddSat.c:282:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] - 282 | register DdNode *F; - | ^ -src/bdd/cudd/cuddSat.c: In function 'int abc::Cudd_ShortestLength(DdManager*, DdNode*, int*)': -src/bdd/cudd/cuddSat.c:354:26: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] - 354 | register DdNode *F; - | ^ --> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/cudd/cuddSplit.c: In function 'double abc::bddAnnotateMintermCount(DdManager*, DdNode*, double, st__table*)': src/bdd/cudd/cuddSplit.c:641:21: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] @@ -10523,6 +10573,15 @@ src/bdd/cudd/cuddSplit.c:642:21: warning: ISO C++17 does not allow 'register' storage class specifier [-Wregister] 642 | register double min_N; | ^~~~~ +-> ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10534,10 +10593,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10555,10 +10614,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o -> ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c @@ -10567,33 +10626,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -In file included from ./src/misc/vec/vec.h:29, - from src/aig/gia/gia.h:34, - from src/aig/gia/giaSimBase.c:21: -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3596:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -In function 'abc::Abc_InfoHasBit(unsigned int*, int)', - inlined from 'abc::Abc_TtPrintBits(unsigned long long*, int)' at ./src/misc/util/utilTruth.h:1557:15, - inlined from 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)' at src/aig/gia/giaSimBase.c:3599:24: -./src/misc/util/abc_global.h:299:81: warning: 'Entry' may be used uninitialized [-Wmaybe-uninitialized] - 299 | static inline int Abc_InfoHasBit( unsigned * p, int i ) { return (p[(i)>>5] & (unsigned)(1<<((i) & 31))) > 0; } - | ~~~~~~~~^ -src/aig/gia/giaSimBase.c: In function 'abc::Gia_ManRelDeriveTest1(abc::Gia_Man_t_*)': -src/aig/gia/giaSimBase.c:3586:10: note: 'Entry' declared here - 3586 | word Entry; int i; - | ^~~~~ -> ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10602,10 +10638,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/dsd/dsdApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10632,10 +10668,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/reo/reoCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10677,16 +10713,16 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Constr.c +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Core.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Group.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Hint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10695,10 +10731,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb1Reach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10719,10 +10755,10 @@ cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Flow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb2Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Compiling: /src/bdd/llb/llb3Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ @@ -10735,12 +10771,6 @@ -> ABC: `` Compiling: /src/bdd/llb/llb4Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ --> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c -gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o -cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ src/bdd/llb/llb2Dump.c: In function 'abc::Llb_ManDumpReached(abc::DdManager*, abc::DdNode*, char*, char*)': src/bdd/llb/llb2Dump.c:48:25: warning: '%0*d' directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); @@ -10763,6 +10793,12 @@ | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 32 | __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~ +-> ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ +-> ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c +gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H -DABC_NAMESPACE=abc -fpermissive -x c++ -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o +cc1plus: warning: '-Werror=' argument '-Werror=implicit-function-declaration' is not valid for C++ -> ABC: `` Building binary: abc-bb64142 gcc -o abc-bb64142 src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/sat/glucose2/AbcGlucose2.o src/sat/glucose2/AbcGlucoseCmd2.o src/sat/glucose2/Glucose2.o src/sat/glucose2/Options2.o src/sat/glucose2/SimpSolver2.o src/sat/glucose2/System2.o src/aig/gia/giaTransduction.o src/aig/gia/giaTtopt.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteEdgelist.o src/base/io/ioWriteGml.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnBlast.o src/base/wln/wlnCom.o src/base/wln/wlnGuide.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRead.o src/base/wln/wlnRetime.o src/base/wln/wlnRtl.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbTest.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSatG2.o src/proof/cec/cecSatG3.o src/proof/cec/cecSeq.o src/proof/cec/cecSim.o src/proof/cec/cecSolve.o src/proof/cec/cecSolveG.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCSat3.o src/aig/gia/giaCSatP.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDecs.o src/aig/gia/giaDeep.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGen.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMinLut.o src/aig/gia/giaMinLut2.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPat2.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaReshape1.o src/aig/gia/giaReshape2.o src/aig/gia/giaResub.o src/aig/gia/giaResub2.o src/aig/gia/giaResub3.o src/aig/gia/giaResub6.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSatSyn.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSif.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSimBase.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStoch.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSupps.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTranStoch.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -flto=auto -ffat-lto-objects -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz -lstdc++ src/sat/glucose/Glucose.cpp:913:8: warning: type 'struct reduceDB_lt' violates the C++ One Definition Rule [-Wodr] @@ -10940,13 +10976,6 @@ src/opt/dau/dauTree.c:48:20: note: at offset [1, 63] into destination object 'pShared' of size 0 48 | unsigned char pShared[0]; // shared literals | ^ -src/misc/extra/extraUtilCube.c: In function 'Abc_EnumerateCubeStates': -src/misc/extra/extraUtilCube.c:200:27: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] - 200 | word State, * pHash = ABC_CALLOC( word, 1 << 29 ); // 4 GB - | ^ -/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here - 675 | extern void *calloc (size_t __nmemb, size_t __size) - | ^ src/opt/fxu/fxuReduce.c: In function 'Fxu_PreprocessCubePairs': src/opt/fxu/fxuReduce.c:89:22: warning: argument 1 value '4294967294' exceeds maximum object size 2147483647 [-Walloc-size-larger-than=] 89 | pnPairCounters = ABC_CALLOC( int, 2 * nBitsMax ); @@ -10954,6 +10983,13 @@ /usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here 675 | extern void *calloc (size_t __nmemb, size_t __size) | ^ +src/misc/extra/extraUtilCube.c: In function 'Abc_EnumerateCubeStates': +src/misc/extra/extraUtilCube.c:200:27: warning: product '536870912 * 8' of arguments 1 and 2 exceeds 'SIZE_MAX' [-Walloc-size-larger-than=] + 200 | word State, * pHash = ABC_CALLOC( word, 1 << 29 ); // 4 GB + | ^ +/usr/include/stdlib.h:675:14: note: in a call to allocation function 'calloc' declared here + 675 | extern void *calloc (size_t __nmemb, size_t __size) + | ^ make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/abc' cp abc/abc-bb64142 yosys-abc @@ -10965,18 +11001,18 @@ debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/reproducible-path/yosys-0.33' dh_auto_build -- docs DOC_TARGET=latexpdf - make -j22 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf + make -j10 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf make[2]: Entering directory '/build/reproducible-path/yosys-0.33' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: mkdir -p docs/source/cmd make -C docs/images all -mkdir -p docs/source/temp ./yosys -p 'help -write-rst-command-reference-manual' >/dev/null -cp -f guidelines/GettingStarted guidelines/CodingStyle docs/source/temp +mkdir -p docs/source/temp make[3]: Entering directory '/build/reproducible-path/yosys-0.33/docs/images' dot -Tpdf -o 011/cmos_00.pdf ../source/APPNOTE_011_Design_Investigation/cmos_00.dot +cp -f guidelines/GettingStarted guidelines/CodingStyle docs/source/temp dot -Tpdf -o 011/cmos_01.pdf ../source/APPNOTE_011_Design_Investigation/cmos_01.dot dot -Tpdf -o 011/example_00.pdf ../source/APPNOTE_011_Design_Investigation/example_00.dot dot -Tpdf -o 011/example_01.pdf ../source/APPNOTE_011_Design_Investigation/example_01.dot @@ -10996,280 +11032,80 @@ dot -Tpdf -o 011/sumprod_04.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_04.dot dot -Tpdf -o 011/sumprod_05.pdf ../source/APPNOTE_011_Design_Investigation/sumprod_05.dot pdflatex approach_flow.tex --interaction=nonstopmode -pdflatex basics_abstractions.tex --interaction=nonstopmode -pdflatex basics_ast.tex --interaction=nonstopmode -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode -(./approach_flow.texentering extended mode -(./basics_abstractions.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(./approach_flow.tex LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.stypdflatex basics_abstractions.tex --interaction=nonstopmode +) (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))))entering extended mode -(./basics_ast.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))))) -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)))))) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex Document Class: article 2023/05/17 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)pdflatex basics_flow.tex --interaction=nonstopmode - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))pdflatex basics_parsetree.tex --interaction=nonstopmode - -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defpdflatex overview_flow.tex --interaction=nonstopmode - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.stypdflatex overview_rtlil.tex --interaction=nonstopmode -pdflatex verilog_flow.tex --interaction=nonstopmode -cd 011 && pdflatex splitnets_libfile.tex --interaction=nonstopmode -pdf2svg 011/cmos_00.pdf 011/cmos_00.svg -pdf2svg 011/cmos_01.pdf 011/cmos_01.svg -pdf2svg 011/example_00.pdf 011/example_00.svg -pdf2svg 011/example_01.pdf 011/example_01.svg -)pdf2svg 011/example_02.pdf 011/example_02.svg -pdf2svg 011/memdemo_01.pdf 011/memdemo_01.svg -) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.stypdf2svg 011/splice.pdf 011/splice.svg -)pdf2svg 011/sumprod_00.pdf 011/sumprod_00.svg - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)pdf2svg 011/sumprod_01.pdf 011/sumprod_01.svg - +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.texpdf2svg 011/sumprod_02.pdf 011/sumprod_02.svg - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defpdf2svg 011/sumprod_03.pdf 011/sumprod_03.svg - +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.defThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texpdf2svg 011/sumprod_04.pdf 011/sumprod_04.svg -)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.texpdf2svg 011/sumprod_05.pdf 011/sumprod_05.svg - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.styrm -f *.log -cd 011 && pdflatex example_out.tex --interaction=nonstopmode -))cd 011 && pdflatex select_prod.tex --interaction=nonstopmode -rm -f *.aux - (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -cd 011 && pdflatex submod_dots.tex --interaction=nonstopmode +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)rm -f 011/*.log 011/*.aux -pdf2svg 011/example_03.pdf 011/example_03.svg -)pdf2svg 011/memdemo_00.pdf 011/memdemo_00.svg -)pdf2svg 011/submod_00.pdf 011/submod_00.svg -pdf2svg 011/submod_01.pdf 011/submod_01.svg -pdf2svg 011/submod_02.pdf 011/submod_02.svg - +f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -texpdf2svg 011/submod_03.pdf 011/submod_03.svg -) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex +tex)pdflatex basics_ast.tex --interaction=nonstopmode +) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -xThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) @@ -11278,1007 +11114,804 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex)) +s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) +xentering extended mode +(./basics_abstractions.tex +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) +tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -)) +) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))pdflatex basics_flow.tex --interaction=nonstopmode + +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. )) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.texpdflatex basics_parsetree.tex --interaction=nonstopmode + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex)) +s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -))This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x))) +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex )) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) +.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))))entering extended mode -(./overview_flow.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))entering extended mode -(./splitnets_libfile.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex))entering extended mode +(./basics_ast.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) LaTeX2e <2023-11-01> patch level 1 L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) +ex) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex +tructureext.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex +x.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare d.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.styentering extended mode -(./basics_flow.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.styentering extended mode -(./submod_dots.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex +de.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex +shading.pgfsys-pdftex.def)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)entering extended mode -(./basics_parsetree.tex) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex +) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -exentering extended mode -(./example_out.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.styentering extended mode -(./verilog_flow.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.cloentering extended mode -(./overview_rtlil.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) +ex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.defentering extended mode +(./basics_flow.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)) -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex)pdflatex overview_flow.tex --interaction=nonstopmode -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex)entering extended mode -(./select_prod.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex +e.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co ntourlua.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg -Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan -dalone -(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarycalc.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))))) +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex))) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)))) +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.texentering extended mode +(./basics_parsetree.tex) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) +x +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex)) +.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty)) +No file approach_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +[Loading MPS to PDF converter (version 2006.09.02).] +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +)pdflatex overview_rtlil.tex --interaction=nonstopmode -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) +tex Document Class: article 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +No file basics_abstractions.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) +[Loading MPS to PDF converter (version 2006.09.02).] +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)))) +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex)) +Package pgfplots: loading complementary utilities for your pgf version... -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.texThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex)) +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +thmetics.code.tex) +)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty)))) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg)) -))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty))) +.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex))) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. + +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.texentering extended mode +(./overview_flow.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex))entering extended mode +(./overview_rtlil.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex)) +x +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex @@ -12286,8876 +11919,1269 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +x) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex))(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)))))))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)))}] (./approach_flow.aux) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.stypdflatex verilog_flow.tex --interaction=nonstopmode + )< +/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty> +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)) +Output written on approach_flow.pdf (1 page, 15288 bytes). +Transcript written on approach_flow.log. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +)cd 011 && pdflatex example_out.tex --interaction=nonstopmode -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) +) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x))) +x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty)) +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -No file splitnets_libfile.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) +No file basics_ast.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty [Loading MPS to PDF converter (version 2006.09.02).] -))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex))} +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex] +(./basics_abstractions.aux) )< +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex/usr/share/texlive/texmf-dist/fonts/type1/public/ +amsfonts/cm/cmr12.pfb)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex>) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +Package pgfplots: loading complementary utilities for your pgf version... + +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex +Output written on basics_abstractions.pdf (1 page, 16368 bytes). +Transcript written on basics_abstractions.log. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.texcd 011 && pdflatex splitnets_libfile.tex --interaction=nonstopmode +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))) +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex))) +.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) +tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex +thmetics.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +zlibrarytopaths.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)))) +s.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex)))) +ex)entering extended mode +(./example_out.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)))))) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex)) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x +gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex ) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex))) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) - -pdfTeX warning: pdflatex (file ./cmos_00.pdf): )) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex -No file basics_abstractions.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -))))PDF inclusion: found PDF version - <1.7>, but at most version <1.5> allowed - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +Package pgfplots: loading complementary utilities for your pgf version... -pdfTeX warning: pdflatex (file ./cmos_01.pdf): -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)))) - +ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))PDF inclusion: found PDF version - <1.7>, but at most version <1.5> allowed -[1) <./cmos_00.pdf) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex>) <./cmos_01.pdf +s.code.tex)) + +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -pdfTeX warning: pdflatex (file ./cmos_01.pdf): -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code -.tex))PDF inclusion: multiple pdfs wit -h page group included in a single page ->]) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (./splitnets_libfile.aux) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex -No file basics_ast.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii ) -Output written on splitnets_libfile.pdf (1 page, 16976 bytes). -Transcript written on splitnets_libfile.log. - -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) -[Loading MPS to PDF converter (version 2006.09.02).] -)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -pdf2svg 011/splitnets_libfile.pdf 011/splitnets_libfile.svg - (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty) -No file submod_dots.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -[Loading MPS to PDF converter (version 2006.09.02).] -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty)))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex )) -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code -.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x))) +))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari -thmetics.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex))) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg)))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex +ode.tex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls)) ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def))))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x -No file example_out.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)) -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 -.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty)) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex))) - -pdfTeX warning: pdflatex (file ./submod_00.pdf): -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty))) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))PDF inclusion: found PDF versi -on <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./submod_01.pdf): ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.texPDF inclusion: found PDF versi -on <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./submod_02.pdf): )) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.texPDF inclusion: found PDF versi -on <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./submod_03.pdf): )))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex - +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c -ode.tex - -pdfTeX warning: pdflatex (file ./example_00.pdf): ) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers -.code.tex)) -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex - +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.texPDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./example_01.pdf): )PDF inclusion: found PDF versi -on <1.7>, but at most version <1.5> allowed -[1 (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.texPDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./example_02.pdf): +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)))PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[1) <./example_00.pdf -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -> <./example_01.pdf - -pdfTeX warning: pdflatex (file ./example_01.pdf): -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -)PDF inclusion: multiple pdfs -with page group included in a single page -> <./example_02.pdf +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -pdfTeX warning: pdflatex (file ./example_02.pdf): ) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))))) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.texPDF inclusion: multiple pdfs -with page group included in a single page ->))]) +zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex (./example_out.aux))) +decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex ) -Output written on example_out.pdf (1 page, 34671 bytes). -Transcript written on example_out.log. - +zlibrarydecorations.pathreplacing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathreplacing.code.tex - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - -[1 -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)))pdf2svg 011/example_out.pdf 011/example_out.svg +ntourlua.code.tex)cd 011 && pdflatex submod_dots.tex --interaction=nonstopmode -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex - +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))))))))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))))entering extended mode +(./verilog_flow.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)))))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)))) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)))))))) (/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex))) -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex)) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex - +For additional information on amsmath, use the `?' option. +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_loader.code.tex +gfsupp_loader.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) Package pgfplots: loading complementary utilities for your pgf version... (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex)))) +gfsupp_pgfutil-common-lists.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -Package pgfplots: loading complementary utilities for your pgf version... - -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp -gfsupp_pgfutil-common-lists.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex +tructure.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex) +tructureext.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray .code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri x.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te -x -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex))) -No file select_prod.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +d.code.tex)))entering extended mode +(./splitnets_libfile.tex)) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex) +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex) +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex) +de.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code .tex) -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur -fshading.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. -tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex - -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code -.tex -(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty)) +.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp gfsupp_loader.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex -}] -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (./basics_ast.aux)) ) +gfsupp_pgfutil-common-lists.tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructure.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex +tructure.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists -tructureext.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray -.code.tex) +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri -x.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -ex +x.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare -d.code.tex) +d.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t ex -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) -Output written on basics_ast.pdf (1 page, 7708 bytes). -Transcript written on basics_ast.log. -) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.texpdf2svg basics_ast.pdf basics_ast.svg -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque -.code.tex)) +.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te x (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co -de.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex))) +de.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code -.tex) +.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty}) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur fshading.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf -shading.pgfsys-pdftex.def)))) +shading.pgfsys-pdftex.def]))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex -) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex - -pdfTeX warning: pdflatex (file ./sumprod_02.pdf): ) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.texPDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./sumprod_03.pdf): ) + (./basics_ast.aux)) )) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.texPDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./sumprod_04.pdf): > <./subm -od_01.pdf - -pdfTeX warning: pdflatex (file ./submod_01.pdf): +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.texPDF inclusion: multiple pdfs w -ith page group included in a single page -> <./submod_02.pdf - -pdfTeX warning: pdflatex) (file ./submod_02.pdf): +e.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t -exPDF inclusion: multiple pdfs w -ith page group included in a single page -> <./submod_03.pdf - -pdfTeX warning: pdflatex (file ./submod_03.pdf): PDF inclusion: multiple pdfs w -ith page group included in a single page ->]) +ex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t -ex (./submod_dots.aux) ))PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./sumprod_05.pdf): -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +Output written on basics_ast.pdf (1 page, 7708 bytes). +Transcript written on basics_ast.log. +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) +decorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex))))pdf2svg approach_flow.pdf approach_flow.svg + (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +)(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex} +zlibrarydecorations.pathreplacing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)])PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[1) <./sumprod_02.pdf> +decorations.pathreplacing.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)))) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex <./sumprod_03.pdf - -pdfTeX warning: pdflatex (file ./sumprod_03.pdf): -(./basics_abstractions.aux))PDF inclusion: multiple pdfs -with page group included in a single page -> <./sumprod_04.pdf - -pdfTeX warning: pdflatex (file ./sumprod_04.pdf): )PDF inclusion: multiple pdfs -with page group included in a single page -> <./sumprod_05.pdf - -pdfTeX warning: pdflatex (file ./sumprod_05.pdf): +ntourlua.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -PDF inclusion: multiple pdfs -with page group included in a single page ->] ) -No file approach_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii ) -Output written on select_prod.pdf (1 page, 22488 bytes). -Transcript written on select_prod.log. +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.code.tex -[Loading MPS to PDF converter (version 2006.09.02).] -) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex -Output written on submod_dots.pdf (1 page, 58421 bytes). -Transcript written on submod_dots.log. -) +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -pdf2svg 011/select_prod.pdf 011/select_prod.svg ) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))pdf2svg 011/submod_dots.pdf 011/submod_dots.svg -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod -e.tex +)) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex +zlibrarydecorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.tex)) +decorations.pathmorphing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex) -Output written on basics_abstractions.pdf (1 page, 16368 bytes). -Transcript written on basics_abstractions.log. -) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathmorphing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathmorphing.code.texpdf2svg basics_abstractions.pdf basics_abstractions.svg -))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod -e.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex) +decorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code +.tex (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.code.tex +zlibrarydecorations.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod e.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet +ric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod +e.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari +thmetics.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarydecorations.pathmorphing.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary decorations.pathmorphing.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarydecorations.pathreplacing.code.tex +zlibrarydecorations.pathreplacing.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary -decorations.pathreplacing.code.tex)) +decorations.pathreplacing.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co -ntourlua.code.tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file overview_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. +ntourlua.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex)) +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. +code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryplotmarks.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex)))) +de.tex)pdf2svg basics_abstractions.pdf basics_abstractions.svg +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex +))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c +ode.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation +s.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t +ex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te +x +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex -[1 -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +))))entering extended mode +(./submod_dots.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +pdf2svg basics_ast.pdf basics_ast.svg + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex)) No file basics_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex + (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex) -No file overview_rtlil.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +zlibrarytopaths.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex +)) +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cls [Loading MPS to PDF converter (version 2006.09.02).] -))) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. +tex (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryplotmarks.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co -de.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file verilog_flow.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii)) -[Loading MPS to PDF converter (version 2006.09.02).] -)} (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty] (./approach_flow.aux) -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) )< -/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb)> -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -Output written on approach_flow.pdf (1 page, 15288 bytes). -Transcript written on approach_flow.log. - -No file basics_parsetree.aux. -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiipdf2svg approach_flow.pdf approach_flow.svg +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te +x) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c +ode.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +No file splitnets_libfile.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] +)))) ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 11. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./overview_flow.aux) )< -/usr/share/texlive/texmf-dist/fonts/type1/public/amsfonts/cm/cmr12.pfb> -Output written on overview_flow.pdf (1 page, 15179 bytes). -Transcript written on overview_flow.log. -pdf2svg overview_flow.pdf overview_flow.svg - - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map - -Package pgfplots Warning: running in backwards compatibility mode (unsuitable t -ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into -your preamble. - on input line 12. - -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] (./overview_rtlil.aux) ) -}] (./basics_flow.aux) ) -Output written on overview_rtlil.pdf (1 page, 17629 bytes). -Transcript written on overview_rtlil.log. -pdf2svg overview_rtlil.pdf overview_rtlil.svg +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -Output written on basics_flow.pdf (1 page, 14279 bytes). -Transcript written on basics_flow.log. -pdf2svg basics_flow.pdf basics_flow.svg -}] (./basics_parsetree.aux) - )}] (./verilog_flow.aux) ) -Output written on basics_parsetree.pdf (1 page, 10896 bytes). -Transcript written on basics_parsetree.log. +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.sty +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex -Output written on verilog_flow.pdf (1 page, 9528 bytes). -Transcript written on verilog_flow.log. -pdf2svg basics_parsetree.pdf basics_parsetree.svg -pdf2svg verilog_flow.pdf verilog_flow.svg -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/images' -make -C docs latexpdf -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/docs' -sphinx-build -b latex -d build/doctrees source build/latex -Running Sphinx v7.2.6 -making output directory... done -checking bibtex cache... out of date -parsing bibtex file /build/reproducible-path/yosys-0.33/docs/source/literature.bib... parsed 23 entries -building [mo]: targets for 0 po files that are out of date -writing output... -building [latex]: all documents -updating environment: [new config] 273 added, 0 changed, 0 removed -reading sources... [ 0%] CHAPTER_Approach reading sources... [ 1%] CHAPTER_Basics reading sources... [ 1%] CHAPTER_CellLib reading sources... [ 1%] CHAPTER_Intro reading sources... [ 2%] CHAPTER_Memorymap reading sources... [ 2%] CHAPTER_Optimize reading sources... [ 3%] CHAPTER_Overview reading sources... [ 3%] CHAPTER_Prog reading sources... [ 3%] CHAPTER_Techmap reading sources... [ 4%] CHAPTER_Verilog reading sources... [ 4%] appendix/APPNOTE_010_Verilog_to_BLIF reading sources... [ 4%] appendix/APPNOTE_011_Design_Investigation reading sources... [ 5%] appendix/APPNOTE_012_Verilog_to_BTOR reading sources... [ 5%] appendix/CHAPTER_Auxlibs reading sources... [ 5%] appendix/CHAPTER_Auxprogs reading sources... [ 6%] appendix/CHAPTER_TextRtlil reading sources... [ 6%] bib reading sources... [ 7%] cmd/abc reading sources... [ 7%] cmd/abc9 reading sources... [ 7%] cmd/abc9_exe reading sources... [ 8%] cmd/abc9_ops reading sources... [ 8%] cmd/add reading sources... [ 8%] cmd/aigmap reading sources... [ 9%] cmd/alumacc reading sources... [ 9%] cmd/anlogic_eqn reading sources... [ 10%] cmd/anlogic_fixcarry reading sources... [ 10%] cmd/assertpmux reading sources... [ 10%] cmd/async2sync reading sources... [ 11%] cmd/attrmap reading sources... [ 11%] cmd/attrmvcp reading sources... [ 11%] cmd/autoname reading sources... [ 12%] cmd/blackbox reading sources... [ 12%] cmd/bmuxmap reading sources... [ 12%] cmd/bugpoint reading sources... [ 13%] cmd/bwmuxmap reading sources... [ 13%] cmd/cd reading sources... [ 14%] cmd/check reading sources... [ 14%] cmd/chformal reading sources... [ 14%] cmd/chparam reading sources... [ 15%] cmd/chtype reading sources... [ 15%] cmd/clean reading sources... [ 15%] cmd/clean_zerowidth reading sources... [ 16%] cmd/clk2fflogic reading sources... [ 16%] cmd/clkbufmap reading sources... [ 16%] cmd/connect reading sources... [ 17%] cmd/connect_rpc reading sources... [ 17%] cmd/connwrappers reading sources... [ 18%] cmd/coolrunner2_fixup reading sources... [ 18%] cmd/coolrunner2_sop reading sources... [ 18%] cmd/copy reading sources... [ 19%] cmd/cover reading sources... [ 19%] cmd/cutpoint reading sources... [ 19%] cmd/debug reading sources... [ 20%] cmd/delete reading sources... [ 20%] cmd/deminout reading sources... [ 21%] cmd/demuxmap reading sources... [ 21%] cmd/design reading sources... [ 21%] cmd/dffinit reading sources... [ 22%] cmd/dfflegalize reading sources... [ 22%] cmd/dfflibmap reading sources... [ 22%] cmd/dffunmap reading sources... [ 23%] cmd/dump reading sources... [ 23%] cmd/echo reading sources... [ 23%] cmd/edgetypes reading sources... [ 24%] cmd/efinix_fixcarry reading sources... [ 24%] cmd/equiv_add reading sources... [ 25%] cmd/equiv_induct reading sources... [ 25%] cmd/equiv_make reading sources... [ 25%] cmd/equiv_mark reading sources... [ 26%] cmd/equiv_miter reading sources... [ 26%] cmd/equiv_opt reading sources... [ 26%] cmd/equiv_purge reading sources... [ 27%] cmd/equiv_remove reading sources... [ 27%] cmd/equiv_simple reading sources... [ 27%] cmd/equiv_status reading sources... [ 28%] cmd/equiv_struct reading sources... [ 28%] cmd/eval reading sources... [ 29%] cmd/exec reading sources... [ 29%] cmd/expose reading sources... [ 29%] cmd/extract reading sources... [ 30%] cmd/extract_counter reading sources... [ 30%] cmd/extract_fa reading sources... [ 30%] cmd/extract_reduce reading sources... [ 31%] cmd/extractinv reading sources... [ 31%] cmd/flatten reading sources... [ 32%] cmd/flowmap reading sources... [ 32%] cmd/fmcombine reading sources... [ 32%] cmd/fminit reading sources... [ 33%] cmd/formalff reading sources... [ 33%] cmd/freduce reading sources... [ 33%] cmd/fsm reading sources... [ 34%] cmd/fsm_detect reading sources... [ 34%] cmd/fsm_expand reading sources... [ 34%] cmd/fsm_export reading sources... [ 35%] cmd/fsm_extract reading sources... [ 35%] cmd/fsm_info reading sources... [ 36%] cmd/fsm_map reading sources... [ 36%] cmd/fsm_opt reading sources... [ 36%] cmd/fsm_recode reading sources... [ 37%] cmd/fst2tb reading sources... [ 37%] cmd/gatemate_foldinv reading sources... [ 37%] cmd/glift reading sources... [ 38%] cmd/greenpak4_dffinv reading sources... [ 38%] cmd/help reading sources... [ 38%] cmd/hierarchy reading sources... [ 39%] cmd/hilomap reading sources... [ 39%] cmd/history reading sources... [ 40%] cmd/ice40_braminit reading sources... [ 40%] cmd/ice40_dsp reading sources... [ 40%] cmd/ice40_opt reading sources... [ 41%] cmd/ice40_wrapcarry reading sources... [ 41%] cmd/insbuf reading sources... [ 41%] cmd/iopadmap reading sources... [ 42%] cmd/jny reading sources... [ 42%] cmd/json reading sources... [ 42%] cmd/lattice_gsr reading sources... [ 43%] cmd/log reading sources... [ 43%] cmd/logger reading sources... [ 44%] cmd/ls reading sources... [ 44%] cmd/ltp reading sources... [ 44%] cmd/lut2mux reading sources... [ 45%] cmd/maccmap reading sources... [ 45%] cmd/memory reading sources... [ 45%] cmd/memory_bmux2rom reading sources... [ 46%] cmd/memory_bram reading sources... [ 46%] cmd/memory_collect reading sources... [ 47%] cmd/memory_dff reading sources... [ 47%] cmd/memory_libmap reading sources... [ 47%] cmd/memory_map reading sources... [ 48%] cmd/memory_memx reading sources... [ 48%] cmd/memory_narrow reading sources... [ 48%] cmd/memory_nordff reading sources... [ 49%] cmd/memory_share reading sources... [ 49%] cmd/memory_unpack reading sources... [ 49%] cmd/miter reading sources... [ 50%] cmd/mutate reading sources... [ 50%] cmd/muxcover reading sources... [ 51%] cmd/muxpack reading sources... [ 51%] cmd/nlutmap reading sources... [ 51%] cmd/onehot reading sources... [ 52%] cmd/opt reading sources... [ 52%] cmd/opt_clean reading sources... [ 52%] cmd/opt_demorgan reading sources... [ 53%] cmd/opt_dff reading sources... [ 53%] cmd/opt_expr reading sources... [ 53%] cmd/opt_ffinv reading sources... [ 54%] cmd/opt_lut reading sources... [ 54%] cmd/opt_lut_ins reading sources... [ 55%] cmd/opt_mem reading sources... [ 55%] cmd/opt_mem_feedback reading sources... [ 55%] cmd/opt_mem_priority reading sources... [ 56%] cmd/opt_mem_widen reading sources... [ 56%] cmd/opt_merge reading sources... [ 56%] cmd/opt_muxtree reading sources... [ 57%] cmd/opt_reduce reading sources... [ 57%] cmd/opt_share reading sources... [ 58%] cmd/paramap reading sources... [ 58%] cmd/peepopt reading sources... [ 58%] cmd/plugin reading sources... [ 59%] cmd/pmux2shiftx reading sources... [ 59%] cmd/pmuxtree reading sources... [ 59%] cmd/portlist reading sources... [ 60%] cmd/prep reading sources... [ 60%] cmd/printattrs reading sources... [ 60%] cmd/proc reading sources... [ 61%] cmd/proc_arst reading sources... [ 61%] cmd/proc_clean reading sources... [ 62%] cmd/proc_dff reading sources... [ 62%] cmd/proc_dlatch reading sources... [ 62%] cmd/proc_init reading sources... [ 63%] cmd/proc_memwr reading sources... [ 63%] cmd/proc_mux reading sources... [ 63%] cmd/proc_prune reading sources... [ 64%] cmd/proc_rmdead reading sources... [ 64%] cmd/proc_rom reading sources... [ 64%] cmd/qbfsat reading sources... [ 65%] cmd/qwp reading sources... [ 65%] cmd/read reading sources... [ 66%] cmd/read_aiger reading sources... [ 66%] cmd/read_blif reading sources... [ 66%] cmd/read_ilang reading sources... [ 67%] cmd/read_json reading sources... [ 67%] cmd/read_liberty reading sources... [ 67%] cmd/read_rtlil reading sources... [ 68%] cmd/read_verilog reading sources... [ 68%] cmd/recover_names reading sources... [ 68%] cmd/rename reading sources... [ 69%] cmd/rmports reading sources... [ 69%] cmd/sat reading sources... [ 70%] cmd/scatter reading sources... [ 70%] cmd/scc reading sources... [ 70%] cmd/scratchpad reading sources... [ 71%] cmd/script reading sources... [ 71%] cmd/select reading sources... [ 71%] cmd/setattr reading sources... [ 72%] cmd/setparam reading sources... [ 72%] cmd/setundef reading sources... [ 73%] cmd/share reading sources... [ 73%] cmd/shell reading sources... [ 73%] cmd/show reading sources... [ 74%] cmd/shregmap reading sources... [ 74%] cmd/sim reading sources... [ 74%] cmd/simplemap reading sources... [ 75%] cmd/splice reading sources... [ 75%] cmd/splitcells reading sources... [ 75%] cmd/splitnets reading sources... [ 76%] cmd/sta reading sources... [ 76%] cmd/stat reading sources... [ 77%] cmd/submod reading sources... [ 77%] cmd/supercover reading sources... [ 77%] cmd/synth reading sources... [ 78%] cmd/synth_achronix reading sources... [ 78%] cmd/synth_anlogic reading sources... [ 78%] cmd/synth_coolrunner2 reading sources... [ 79%] cmd/synth_easic reading sources... [ 79%] cmd/synth_ecp5 reading sources... [ 79%] cmd/synth_efinix reading sources... [ 80%] cmd/synth_fabulous reading sources... [ 80%] cmd/synth_gatemate reading sources... [ 81%] cmd/synth_gowin reading sources... [ 81%] cmd/synth_greenpak4 reading sources... [ 81%] cmd/synth_ice40 reading sources... [ 82%] cmd/synth_intel reading sources... [ 82%] cmd/synth_intel_alm reading sources... [ 82%] cmd/synth_lattice reading sources... [ 83%] cmd/synth_nexus reading sources... [ 83%] cmd/synth_quicklogic reading sources... [ 84%] cmd/synth_sf2 reading sources... [ 84%] cmd/synth_xilinx reading sources... [ 84%] cmd/synthprop reading sources... [ 85%] cmd/tcl reading sources... [ 85%] cmd/techmap reading sources... [ 85%] cmd/tee reading sources... [ 86%] cmd/test_abcloop reading sources... [ 86%] cmd/test_autotb reading sources... [ 86%] cmd/test_cell reading sources... [ 87%] cmd/test_pmgen reading sources... [ 87%] cmd/torder reading sources... [ 88%] cmd/trace reading sources... [ 88%] cmd/tribuf reading sources... [ 88%] cmd/uniquify reading sources... [ 89%] cmd/verific reading sources... [ 89%] cmd/verilog_defaults reading sources... [ 89%] cmd/verilog_defines reading sources... [ 90%] cmd/viz reading sources... [ 90%] cmd/wbflip reading sources... [ 90%] cmd/wreduce reading sources... [ 91%] cmd/write_aiger reading sources... [ 91%] cmd/write_blif reading sources... [ 92%] cmd/write_btor reading sources... [ 92%] cmd/write_cxxrtl reading sources... [ 92%] cmd/write_edif reading sources... [ 93%] cmd/write_file reading sources... [ 93%] cmd/write_firrtl reading sources... [ 93%] cmd/write_ilang reading sources... [ 94%] cmd/write_intersynth reading sources... [ 94%] cmd/write_jny reading sources... [ 95%] cmd/write_json reading sources... [ 95%] cmd/write_rtlil reading sources... [ 95%] cmd/write_simplec reading sources... [ 96%] cmd/write_smt2 reading sources... [ 96%] cmd/write_smv reading sources... [ 96%] cmd/write_spice reading sources... [ 97%] cmd/write_table reading sources... [ 97%] cmd/write_verilog reading sources... [ 97%] cmd/write_xaiger reading sources... [ 98%] cmd/xilinx_dffopt reading sources... [ 98%] cmd/xilinx_dsp reading sources... [ 99%] cmd/xilinx_srl reading sources... [ 99%] cmd/xprop reading sources... [ 99%] cmd/zinit reading sources... [100%] cmd_ref reading sources... [100%] index -/build/reproducible-path/yosys-0.33/docs/source/index.rst:30: WARNING: toctree contains reference to excluded document 'CHAPTER_Eval' -/build/reproducible-path/yosys-0.33/docs/source/index.rst:52: WARNING: toctree contains reference to excluded document 'appendix/CHAPTER_StateOfTheArt' -looking for now-outdated files... none found -pickling environment... done -checking consistency... done -copying TeX support files... copying TeX support files... -done -processing yosyshqyosys.tex... index CHAPTER_Intro CHAPTER_Basics CHAPTER_Approach CHAPTER_Overview CHAPTER_CellLib CHAPTER_Prog CHAPTER_Verilog CHAPTER_Optimize CHAPTER_Techmap CHAPTER_Memorymap appendix/CHAPTER_Auxlibs appendix/CHAPTER_Auxprogs appendix/CHAPTER_TextRtlil appendix/APPNOTE_010_Verilog_to_BLIF appendix/APPNOTE_011_Design_Investigation appendix/APPNOTE_012_Verilog_to_BTOR bib cmd_ref cmd/abc cmd/abc9 cmd/abc9_exe cmd/abc9_ops cmd/add cmd/aigmap cmd/alumacc cmd/anlogic_eqn cmd/anlogic_fixcarry cmd/assertpmux cmd/async2sync cmd/attrmap cmd/attrmvcp cmd/autoname cmd/blackbox cmd/bmuxmap cmd/bugpoint cmd/bwmuxmap cmd/cd cmd/check cmd/chformal cmd/chparam cmd/chtype cmd/clean cmd/clean_zerowidth cmd/clk2fflogic cmd/clkbufmap cmd/connect cmd/connect_rpc cmd/connwrappers cmd/coolrunner2_fixup cmd/coolrunner2_sop cmd/copy cmd/cover cmd/cutpoint cmd/debug cmd/delete cmd/deminout cmd/demuxmap cmd/design cmd/dffinit cmd/dfflegalize cmd/dfflibmap cmd/dffunmap cmd/dump cmd/echo cmd/edgetypes cmd/efinix_fixcarry cmd/equiv_add cmd/equiv_induct cmd/equiv_make cmd/equiv_mark cmd/equiv_miter cmd/equiv_opt cmd/equiv_purge cmd/equiv_remove cmd/equiv_simple cmd/equiv_status cmd/equiv_struct cmd/eval cmd/exec cmd/expose cmd/extract cmd/extract_counter cmd/extract_fa cmd/extract_reduce cmd/extractinv cmd/flatten cmd/flowmap cmd/fmcombine cmd/fminit cmd/formalff cmd/freduce cmd/fsm cmd/fsm_detect cmd/fsm_expand cmd/fsm_export cmd/fsm_extract cmd/fsm_info cmd/fsm_map cmd/fsm_opt cmd/fsm_recode cmd/fst2tb cmd/gatemate_foldinv cmd/glift cmd/greenpak4_dffinv cmd/help cmd/hierarchy cmd/hilomap cmd/history cmd/ice40_braminit cmd/ice40_dsp cmd/ice40_opt cmd/ice40_wrapcarry cmd/insbuf cmd/iopadmap cmd/jny cmd/json cmd/lattice_gsr cmd/log cmd/logger cmd/ls cmd/ltp cmd/lut2mux cmd/maccmap cmd/memory cmd/memory_bmux2rom cmd/memory_bram cmd/memory_collect cmd/memory_dff cmd/memory_libmap cmd/memory_map cmd/memory_memx cmd/memory_narrow cmd/memory_nordff cmd/memory_share cmd/memory_unpack cmd/miter cmd/mutate cmd/muxcover cmd/muxpack cmd/nlutmap cmd/onehot cmd/opt cmd/opt_clean cmd/opt_demorgan cmd/opt_dff cmd/opt_expr cmd/opt_ffinv cmd/opt_lut cmd/opt_lut_ins cmd/opt_mem cmd/opt_mem_feedback cmd/opt_mem_priority cmd/opt_mem_widen cmd/opt_merge cmd/opt_muxtree cmd/opt_reduce cmd/opt_share cmd/paramap cmd/peepopt cmd/plugin cmd/pmux2shiftx cmd/pmuxtree cmd/portlist cmd/prep cmd/printattrs cmd/proc cmd/proc_arst cmd/proc_clean cmd/proc_dff cmd/proc_dlatch cmd/proc_init cmd/proc_memwr cmd/proc_mux cmd/proc_prune cmd/proc_rmdead cmd/proc_rom cmd/qbfsat cmd/qwp cmd/read cmd/read_aiger cmd/read_blif cmd/read_ilang cmd/read_json cmd/read_liberty cmd/read_rtlil cmd/read_verilog cmd/recover_names cmd/rename cmd/rmports cmd/sat cmd/scatter cmd/scc cmd/scratchpad cmd/script cmd/select cmd/setattr cmd/setparam cmd/setundef cmd/share cmd/shell cmd/show cmd/shregmap cmd/sim cmd/simplemap cmd/splice cmd/splitcells cmd/splitnets cmd/sta cmd/stat cmd/submod cmd/supercover cmd/synth cmd/synth_achronix cmd/synth_anlogic cmd/synth_coolrunner2 cmd/synth_easic cmd/synth_ecp5 cmd/synth_efinix cmd/synth_fabulous cmd/synth_gatemate cmd/synth_gowin cmd/synth_greenpak4 cmd/synth_ice40 cmd/synth_intel cmd/synth_intel_alm cmd/synth_lattice cmd/synth_nexus cmd/synth_quicklogic cmd/synth_sf2 cmd/synth_xilinx cmd/synthprop cmd/tcl cmd/techmap cmd/tee cmd/test_abcloop cmd/test_autotb cmd/test_cell cmd/test_pmgen cmd/torder cmd/trace cmd/tribuf cmd/uniquify cmd/verific cmd/verilog_defaults cmd/verilog_defines cmd/viz cmd/wbflip cmd/wreduce cmd/write_aiger cmd/write_blif cmd/write_btor cmd/write_cxxrtl cmd/write_edif cmd/write_file cmd/write_firrtl cmd/write_ilang cmd/write_intersynth cmd/write_jny cmd/write_json cmd/write_rtlil cmd/write_simplec cmd/write_smt2 cmd/write_smv cmd/write_spice cmd/write_table cmd/write_verilog cmd/write_xaiger cmd/xilinx_dffopt cmd/xilinx_dsp cmd/xilinx_srl cmd/xprop cmd/zinit -resolving references... -done -writing... done -copying images... [ 6%] ../images/basics_abstractions.pdf copying images... [ 11%] ../images/basics_flow.pdf copying images... [ 17%] ../images/basics_parsetree.pdf copying images... [ 22%] ../images/basics_ast.pdf copying images... [ 28%] ../images/approach_flow.pdf copying images... [ 33%] ../images/overview_flow.pdf copying images... [ 39%] ../images/overview_rtlil.pdf copying images... [ 44%] ../images/verilog_flow.pdf copying images... [ 50%] ../images/011/example_out.pdf copying images... [ 56%] ../images/011/splice.pdf copying images... [ 61%] ../images/011/splitnets_libfile.pdf copying images... [ 67%] ../images/011/example_03.pdf copying images... [ 72%] ../images/011/sumprod_00.pdf copying images... [ 78%] ../images/011/sumprod_01.pdf copying images... [ 83%] ../images/011/select_prod.pdf copying images... [ 89%] ../images/011/memdemo_00.pdf copying images... [ 94%] ../images/011/memdemo_01.pdf copying images... [100%] ../images/011/submod_dots.pdf -build succeeded, 2 warnings. - -The LaTeX files are in build/latex. -Run 'make' in that directory to run these through (pdf)latex -(use `make latexpdf' here to do that automatically). -Running LaTeX files through pdflatex... -make -C build/latex all-pdf -make[4]: Entering directory '/build/reproducible-path/yosys-0.33/docs/build/latex' -latexmk -pdf -dvi- -ps- 'yosyshqyosys.tex' -Rc files read: - /etc/LatexMk - latexmkrc -Latexmk: This is Latexmk, John Collins, 7 Apr. 2024. Version 4.85. -No existing .aux file, so I'll make a simple one, and require run of *latex. -Latexmk: applying rule 'pdflatex'... -Rule 'pdflatex': Reasons for rerun -Category 'other': - Rerun of 'pdflatex' forced or previously required: - Reason or flag: 'Initial setup' - ------------- -Run number 1 of rule 'pdflatex' ------------- ------------- -Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------- -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -entering extended mode -(./yosyshqyosys.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(./sphinxmanual.cls -Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) -(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls -Document Class: report 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.texpdf2svg 011/cmos_00.pdf 011/cmos_00.svg +) +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty) For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) -(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) -(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty -(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) -(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) -(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) -(./sphinxlatexadmonitions.sty -(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) -(./sphinxlatexliterals.sty -(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) -(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) -(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) -(./sphinxhighlight.sty) (./sphinxlatextables.sty -(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) -(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) -(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) -(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty -) (./sphinxlatexindbibtoc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) -(./sphinxlatexstylepage.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) -(./sphinxlatexstyleheadings.sty -(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) -(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 +.sty (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty -(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) -(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty -Excluding comment 'comment') -Writing index file yosyshqyosys.idx -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./yosyshqyosys.aux) -(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii -[Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> -(/usr/share/texmf/tex/latex/lm/omllmm.fd)<> -(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> -(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo -nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc -}] [2] -No file yosyshqyosys.toc. -[1] [2] [1] [2] -Chapter 1. -[3] - -LaTeX Warning: Hyper reference `CHAPTER_Intro:chapter-intro' on page 4 undefine -d on input line 201. - - -LaTeX Warning: Reference `CHAPTER_Intro:chapter-intro' on page 4 undefined on i -nput line 201. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:chapter-basics' on page 4 undefi -ned on input line 204. - - -LaTeX Warning: Reference `CHAPTER_Basics:chapter-basics' on page 4 undefined on - input line 204. - - -LaTeX Warning: Hyper reference `CHAPTER_Approach:chapter-approach' on page 4 un -defined on input line 209. - - -LaTeX Warning: Reference `CHAPTER_Approach:chapter-approach' on page 4 undefine -d on input line 209. - - -LaTeX Warning: Hyper reference `CHAPTER_Overview:chapter-overview' on page 4 un -defined on input line 214. - - -LaTeX Warning: Reference `CHAPTER_Overview:chapter-overview' on page 4 undefine -d on input line 214. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:chapter-celllib' on page 4 unde -fined on input line 220. - - -LaTeX Warning: Reference `CHAPTER_CellLib:chapter-celllib' on page 4 undefined -on input line 220. - - -LaTeX Warning: Hyper reference `CHAPTER_Prog:chapter-prog' on page 4 undefined -on input line 225. - - -LaTeX Warning: Reference `CHAPTER_Prog:chapter-prog' on page 4 undefined on inp -ut line 225. - - -LaTeX Warning: Hyper reference `CHAPTER_Verilog:chapter-verilog' on page 4 unde -fined on input line 231. - - -LaTeX Warning: Reference `CHAPTER_Verilog:chapter-verilog' on page 4 undefined -on input line 231. - - -LaTeX Warning: Hyper reference `CHAPTER_Optimize:chapter-opt' on page 4 undefin -ed on input line 231. - - -LaTeX Warning: Reference `CHAPTER_Optimize:chapter-opt' on page 4 undefined on -input line 231. - - -LaTeX Warning: Hyper reference `CHAPTER_Techmap:chapter-techmap' on page 4 unde -fined on input line 232. - - -LaTeX Warning: Reference `CHAPTER_Techmap:chapter-techmap' on page 4 undefined -on input line 232. - - -LaTeX Warning: Hyper reference `cmd_ref:cmd-ref' on page 4 undefined on input l -ine 237. - -[4] -Chapter 2. - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-abstractions' on page - 5 undefined on input line 261. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-abstractions' on page 5 und -efined on input line 261. - -(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>] -[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts -/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-flow' on page 13 unde -fined on input line 827. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-flow' on page 13 undefined -on input line 827. - -[13 <./basics_flow.pdf>] - -LaTeX Warning: Hyper reference `CHAPTER_Basics:tab-basics-tokens' on page 14 un -defined on input line 896. - - -LaTeX Warning: Reference `CHAPTER_Basics:tab-basics-tokens' on page 14 undefine -d on input line 896. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 - undefined on input line 1010. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 undef -ined on input line 1010. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-ast' on page 14 undef -ined on input line 1025. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-ast' on page 14 undefined o -n input line 1025. - - -LaTeX Warning: Hyper reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 - undefined on input line 1026. - - -LaTeX Warning: Reference `CHAPTER_Basics:fig-basics-parsetree' on page 14 undef -ined on input line 1026. - -[14] [15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf -/fonts/enc/dvips/lm/lm-rm.enc}] [18] -Chapter 3. - -LaTeX Warning: Hyper reference `CHAPTER_Approach:fig-approach-flow' on page 19 -undefined on input line 1208. - - -LaTeX Warning: Reference `CHAPTER_Approach:fig-approach-flow' on page 19 undefi -ned on input line 1208. - -[19 <./approach_flow.pdf>] [20] - -LaTeX Warning: Hyper reference `cmd_ref:cmd-ref' on page 21 undefined on input -line 1358. - -[21] [22] -Chapter 4. - -LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-flow' on page 23 -undefined on input line 1391. - - -LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-flow' on page 23 undefi -ned on input line 1391. - -[23] - -LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-rtlil' on page 24 - undefined on input line 1457. - - -LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-rtlil' on page 24 undef -ined on input line 1457. - -[24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pdf>] -[25 <./overview_rtlil.pdf>] - -LaTeX Warning: Hyper reference `CHAPTER_Overview:fig-overview-rtlil' on page 26 - undefined on input line 1593. - - -LaTeX Warning: Reference `CHAPTER_Overview:fig-overview-rtlil' on page 26 undef -ined on input line 1593. - -[26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) [27] [28] [29] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:sec-memcells' on page 30 undefi -ned on input line 1973. - - -LaTeX Warning: Reference `CHAPTER_CellLib:sec-memcells' on page 30 undefined on - input line 1973. - -[30] - -LaTeX Warning: Hyper reference `CHAPTER_Approach:sec-typusecase' on page 31 und -efined on input line 1983. - - -LaTeX Warning: Reference `CHAPTER_Approach:sec-typusecase' on page 31 undefined - on input line 1983. - - -Underfull \hbox (badness 10000) in paragraph at lines 2065--2072 -[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p -asses/*/Makefile.inc and back- -[31] -Underfull \hbox (badness 6110) in paragraph at lines 2073--2076 -[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le -arn how to write passes are -[32] -Chapter 5. - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-unary' on page 33 u -ndefined on input line 2148. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-unary' on page 33 undefin -ed on input line 2148. - -[33] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-binary' on page 34 -undefined on input line 2299. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-binary' on page 34 undefi -ned on input line 2299. - -[34] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-divmod' on page 35 -undefined on input line 2574. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-divmod' on page 35 undefi -ned on input line 2574. - -[35] [36] [37] [38] [39] [40] [41] [42] - -LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available -(Font) Font shape `T1/lmr/b/sl' tried instead on input line 3382. - -[43] [44] [45] [46] - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates' on page 47 u -ndefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates' on page 47 undefin -ed on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffe' on page - 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffe' on page 47 un -defined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adff' on page - 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adff' on page 47 un -defined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adffe' on pag -e 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adffe' on page 47 u -ndefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffsr' on pag -e 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffsr' on page 47 u -ndefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dffsre' on pa -ge 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dffsre' on page 47 -undefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-adlatch' on p -age 47 undefined on input line 5088. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-adlatch' on page 47 - undefined on input line 5088. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-dlatchsr' on -page 47 undefined on input line 5089. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-dlatchsr' on page 4 -7 undefined on input line 5089. - - -LaTeX Warning: Hyper reference `CHAPTER_CellLib:tab-celllib-gates-sr' on page 4 -7 undefined on input line 5089. - - -LaTeX Warning: Reference `CHAPTER_CellLib:tab-celllib-gates-sr' on page 47 unde -fined on input line 5089. - -[47] [48] [49] [50] -Chapter 6. -[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[52] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[53] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[54] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[55] [56] [57] -Underfull \vbox (badness 10000) detected at line 5745 - -Overfull \vbox (0.56999pt too high) detected at line 5745 -[58] [59] [60] -Chapter 7. - -LaTeX Warning: Hyper reference `CHAPTER_Verilog:fig-verilog-flow' on page 61 un -defined on input line 5785. - - -LaTeX Warning: Reference `CHAPTER_Verilog:fig-verilog-flow' on page 61 undefine -d on input line 5785. - -[61 <./verilog_flow.pdf>] -Underfull \hbox (badness 7777) in paragraph at lines 5988--5991 -[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 113 undefined on input line 9520. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 113 undefined on input line 9520. - - - -pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version -<1.7>, but at most version <1.5> allowed -[113] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:splic -e-src' on page 114 undefined on input line 9562. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:splice-src' - on page 114 undefined on input line 9562. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:split -nets-libfile' on page 114 undefined on input line 9587. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:splitnets-l -ibfile' on page 114 undefined on input line 9587. - - -Overfull \vbox (289.91435pt too high) has occurred while \output is active -[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 116 undefined on input line 9664. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 116 undefined on input line 9664. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 116 undefined on input line 9664. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 116 undefined on input line 9664. - -[116] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 117 undefined on input line 9691. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 117 undefined on input line 9691. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:lscd' - on page 117 undefined on input line 9717. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:lscd' on pa -ge 117 undefined on input line 9717. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-src' on page 117 undefined on input line 9718. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-src -' on page 117 undefined on input line 9718. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:lscd' - on page 117 undefined on input line 9721. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:lscd' on pa -ge 117 undefined on input line 9721. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 117 undefined on input line 9722. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 117 undefined on input line 9722. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:dump2 -' on page 117 undefined on input line 9737. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:dump2' on p -age 117 undefined on input line 9737. - -[117] - -pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:examp -le-out' on page 118 undefined on input line 9754. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:example-out -' on page 118 undefined on input line 9754. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selad -d' on page 118 undefined on input line 9768. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:seladd' on -page 118 undefined on input line 9768. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selad -d' on page 118 undefined on input line 9777. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:seladd' on -page 118 undefined on input line 9777. - -[118 <./example_03.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od' on page 119 undefined on input line 9836. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on - page 119 undefined on input line 9836. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:fooba -raddsub' on page 119 undefined on input line 9851. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:foobaraddsu -b' on page 119 undefined on input line 9851. - -[119 <./sumprod_00.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od' on page 120 undefined on input line 9867. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on - page 120 undefined on input line 9867. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od-00' on page 120 undefined on input line 9874. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-00' - on page 120 undefined on input line 9874. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od-01' on page 120 undefined on input line 9884. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-01' - on page 120 undefined on input line 9884. - - - -pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od' on page 120 undefined on input line 9891. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod' on - page 120 undefined on input line 9891. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:sumpr -od-01' on page 120 undefined on input line 9897. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:sumprod-01' - on page 120 undefined on input line 9897. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:selec -t-prod' on page 120 undefined on input line 9909. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:select-prod -' on page 120 undefined on input line 9909. - -[120 <./sumprod_01.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 121 undefined on input line 9943. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 121 undefined on input line 9943. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 121 undefined on input line 9947. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 121 undefined on input line 9947. - -[121 <./select_prod.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 122 undefined on input line 9977. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 122 undefined on input line 9977. - -[122 <./memdemo_00.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-01' on page 123 undefined on input line 10052. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-01' - on page 123 undefined on input line 10052. - -[123 <./memdemo_01.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:submo -d' on page 124 undefined on input line 10108. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:submod' on -page 124 undefined on input line 10108. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 124 undefined on input line 10109. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 124 undefined on input line 10109. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 124 undefined on input line 10109. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 124 undefined on input line 10109. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-00' on page 124 undefined on input line 10121. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-00' - on page 124 undefined on input line 10121. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:submo -d' on page 124 undefined on input line 10135. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:submod' on -page 124 undefined on input line 10135. - -[124] [125 <./submod_dots.pdf>] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 126 undefined on input line 10191. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 126 undefined on input line 10191. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 126 undefined on input line 10191. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 126 undefined on input line 10191. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -test' on page 126 undefined on input line 10202. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' -on page 126 undefined on input line 10202. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -test' on page 126 undefined on input line 10202. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' -on page 126 undefined on input line 10202. - -[126] [127] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -test' on page 128 undefined on input line 10307. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primetest' -on page 128 undefined on input line 10307. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 128 undefined on input line 10312. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 128 undefined on input line 10312. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:prime -sat' on page 128 undefined on input line 10320. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:primesat' o -n page 128 undefined on input line 10320. - -[128] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 129 undefined on input line 10338. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 129 undefined on input line 10338. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 129 undefined on input line 10338. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 129 undefined on input line 10338. - - -Underfull \vbox (badness 10000) detected at line 10411 -[129] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-src' on page 130 undefined on input line 10416. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-src -' on page 130 undefined on input line 10416. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_011_Design_Investigation:memde -mo-sat' on page 130 undefined on input line 10418. - - -LaTeX Warning: Reference `appendix/APPNOTE_011_Design_Investigation:memdemo-sat -' on page 130 undefined on input line 10418. - -[130] [131] [132] -Appendix F. - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying --property-assert' on page 133 undefined on input line 10532. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying-prope -rty-assert' on page 133 undefined on input line 10532. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying --property-output' on page 133 undefined on input line 10540. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:specifying-prope -rty-output' on page 133 undefined on input line 10540. - -[133] [134] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-scrip -t-memory' on page 135 undefined on input line 10613. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-script-memo -ry' on page 135 undefined on input line 10613. - - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-scrip -t-without-memory' on page 135 undefined on input line 10708. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-script-with -out-memory' on page 135 undefined on input line 10708. - -[135] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-memor -y' on page 136 undefined on input line 10753. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-memory' on -page 136 undefined on input line 10753. - -[136] - -LaTeX Warning: Hyper reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-witho -ut-memory' on page 137 undefined on input line 10790. - - -LaTeX Warning: Reference `appendix/APPNOTE_012_Verilog_to_BTOR:btor-without-mem -ory' on page 137 undefined on input line 10790. - - -Underfull \vbox (badness 5985) detected at line 10833 -[137] [138] -Appendix G. -Excluding 'comment' comment. [139] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[140] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[141] [142] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11735 -[143] -Underfull \vbox (badness 10000) detected at line 11735 - -Overfull \vbox (0.56999pt too high) detected at line 11735 -[144] [145] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11949 -[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment. -Excluding 'comment' comment. [149] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [150] -Excluding 'comment' comment. Excluding 'comment' comment. [151] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [152] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 13042 -[153] Excluding 'comment' comment. Excluding 'comment' comment. [154] -Excluding 'comment' comment. Excluding 'comment' comment. [155] -Excluding 'comment' comment. Excluding 'comment' comment. [156] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [157] -Excluding 'comment' comment. [158] Excluding 'comment' comment. -Excluding 'comment' comment. [159] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [160] Excluding 'comment' comment. [161] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [162] [163] Excluding 'comment' comment. -Excluding 'comment' comment. [164] [165] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 14953 -[166] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [167] -Excluding 'comment' comment. Excluding 'comment' comment. [168] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [169] [170] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [171] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 15928 -[172] Excluding 'comment' comment. Excluding 'comment' comment. [173] -Excluding 'comment' comment. [174] -Underfull \vbox (badness 10000) detected at line 16419 - -Overfull \vbox (0.56999pt too high) detected at line 16419 -[175] Excluding 'comment' comment. Excluding 'comment' comment. [176] -Excluding 'comment' comment. Excluding 'comment' comment. [177] -Excluding 'comment' comment. Excluding 'comment' comment. [178] -Excluding 'comment' comment. Excluding 'comment' comment. [179] -Excluding 'comment' comment. [180] Excluding 'comment' comment. -Excluding 'comment' comment. [181] Excluding 'comment' comment. [182] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [183] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [184] Excluding 'comment' comment. -Excluding 'comment' comment. [185] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 18174 -[186] Excluding 'comment' comment. [187] Excluding 'comment' comment. -Excluding 'comment' comment. [188] Excluding 'comment' comment. [189] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [190] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [191] -Excluding 'comment' comment. [192] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [193] -Excluding 'comment' comment. Excluding 'comment' comment. [194] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [195] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [196] -Underfull \vbox (badness 10000) detected at line 19830 - -Overfull \vbox (0.56999pt too high) detected at line 19830 -[197] Excluding 'comment' comment. [198] Excluding 'comment' comment. -Excluding 'comment' comment. [199] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [200] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [201] [202] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 20690 -[203] Excluding 'comment' comment. Excluding 'comment' comment. [204] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [205] Excluding 'comment' comment. -Excluding 'comment' comment. [206] Excluding 'comment' comment. -Excluding 'comment' comment. [207] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [208] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [209] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [210] Excluding 'comment' comment. -Excluding 'comment' comment. [211] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [212] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 22309 -[213] Excluding 'comment' comment. [214] Excluding 'comment' comment. -Excluding 'comment' comment. [215] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [216] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [217] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 23043 -[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [221] Excluding 'comment' comment. -Excluding 'comment' comment. [222] Excluding 'comment' comment. -Excluding 'comment' comment. [223] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[224] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[225] Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.1248pt too high) detected at line 24381 -[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[229] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[230] Excluding 'comment' comment. Excluding 'comment' comment. [231] -Excluding 'comment' comment. [232] Excluding 'comment' comment. -Excluding 'comment' comment. [233] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[234] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[235] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[236] [237] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 26099 -[238] Excluding 'comment' comment. Excluding 'comment' comment. [239] -Excluding 'comment' comment. [240] -Underfull \vbox (badness 10000) detected at line 26539 - -Overfull \vbox (0.56999pt too high) detected at line 26539 -[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243] -Underfull \vbox (badness 10000) detected at line 27097 - -Overfull \vbox (0.56999pt too high) detected at line 27097 -[244] Excluding 'comment' comment. Excluding 'comment' comment. [245] -Excluding 'comment' comment. Excluding 'comment' comment. [246] -Excluding 'comment' comment. Excluding 'comment' comment. [247] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 27917 -[248] -Underfull \vbox (badness 10000) detected at line 27917 - -Overfull \vbox (0.56999pt too high) detected at line 27917 -[249] Excluding 'comment' comment. [250] -Underfull \vbox (badness 10000) detected at line 28099 - -Overfull \vbox (0.56999pt too high) detected at line 28099 -[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 28556 -[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 29176 -[257] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[258] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[259] Excluding 'comment' comment. [260] -Underfull \vbox (badness 10000) detected at line 29414 - -Overfull \vbox (0.56999pt too high) detected at line 29414 -[261] Excluding 'comment' comment. [262] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[263] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[264] Excluding 'comment' comment. [265] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[266] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[267] Excluding 'comment' comment. [268] -Underfull \vbox (badness 10000) detected at line 30564 - -Overfull \vbox (0.56999pt too high) detected at line 30564 -[269] Excluding 'comment' comment. [270] -Underfull \vbox (badness 10000) detected at line 30781 - -Overfull \vbox (0.56999pt too high) detected at line 30781 -[271] Excluding 'comment' comment. [272] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[273] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[274] [275] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 31538 -[276] -Underfull \vbox (badness 10000) detected at line 31538 - -Overfull \vbox (0.56999pt too high) detected at line 31538 -[277] Excluding 'comment' comment. [278] -Underfull \vbox (badness 10000) detected at line 31882 - -Overfull \vbox (0.56999pt too high) detected at line 31882 -[279] Excluding 'comment' comment. [280] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[281] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[282] [283] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32712 -[284] -Underfull \vbox (badness 10000) detected at line 32712 - -Overfull \vbox (0.56999pt too high) detected at line 32712 -[285] [286] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32972 -[287] -Underfull \vbox (badness 10000) detected at line 32972 - -Overfull \vbox (0.56999pt too high) detected at line 32972 -[288] Excluding 'comment' comment. [289] -Underfull \vbox (badness 10000) detected at line 33239 - -Overfull \vbox (0.56999pt too high) detected at line 33239 -[290] Excluding 'comment' comment. [291] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[292] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295] -Excluding 'comment' comment. [296] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[297] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[298] Excluding 'comment' comment. Excluding 'comment' comment. [299] -Excluding 'comment' comment. Excluding 'comment' comment. [300] -Excluding 'comment' comment. [301] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [302] -Excluding 'comment' comment. Excluding 'comment' comment. [303] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[304] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[305] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[306] Excluding 'comment' comment. Excluding 'comment' comment. [307] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 35736 -[308] Excluding 'comment' comment. Excluding 'comment' comment. [309] -Excluding 'comment' comment. Excluding 'comment' comment. [310] -Underfull \vbox (badness 10000) detected at line 36214 - -Overfull \vbox (0.56999pt too high) detected at line 36214 -[311] Excluding 'comment' comment. Excluding 'comment' comment. [312] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[313] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[314] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[315] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[316] Excluding 'comment' comment. [317] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [318] Excluding 'comment' comment. -Excluding 'comment' comment. [319] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[320] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[321] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[322] -Underfull \vbox (badness 10000) detected at line 37818 +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty))) +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.stypdf2svg 011/cmos_01.pdf 011/cmos_01.svg -Overfull \vbox (0.56999pt too high) detected at line 37818 -[323] Excluding 'comment' comment. Excluding 'comment' comment. [324] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 38291 -[325] -Underfull \vbox (badness 10000) detected at line 38291 +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty))))) -Overfull \vbox (0.56999pt too high) detected at line 38291 -[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328] -Excluding 'comment' comment. Excluding 'comment' comment. [329] -Underfull \vbox (badness 10000) detected at line 38796 +pdfTeX warning: pdflatex (file ./cmos_00.pdf): +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +(/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 +.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgfplots/pgfplots.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.revision.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.code.texPDF inclusion: found PDF version + <1.7>, but at most version <1.5> allowed -Overfull \vbox (0.56999pt too high) detected at line 38796 -[330] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [331] Excluding 'comment' comment. [332] -Excluding 'comment' comment. [333] Excluding 'comment' comment. [334] -Underfull \hbox (badness 5652) in paragraph at lines 39288--39290 -\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s -ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. -Underfull \hbox (badness 7685) in paragraph at lines 39291--39293 -[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo -r ver-ilog hard-ware de- +pdfTeX warning: pdflatex (file ./cmos_01.pdf): ) +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscore.code.tex)PDF inclusion: found PDF version + <1.7>, but at most version <1.5> allowed +[1 <./cmos_00.pdf> <./cmos_01.pdf -Underfull \hbox (badness 5022) in paragraph at lines 39291--39293 -\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- -sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[335] -No file yosyshqyosys.ind. +pdfTeX warning: pdflatex (file ./cmos_01.pdf): PDF inclusion: multiple pdfs wit +h page group included in a single page +>] (./splitnets_libfile.aux) ) +Output written on splitnets_libfile.pdf (1 page, 16976 bytes). +Transcript written on splitnets_libfile.log. -Package longtable Warning: Table widths have changed. Rerun LaTeX. +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgfplotssysgeneric.code +.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgfplotslibrary.code.t +ex (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_loader.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryfpu.code.tex +)pdf2svg 011/example_00.pdf 011/example_00.svg +) +Package pgfplots: loading complementary utilities for your pgf version... -[336] (./yosyshqyosys.aux) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/oldpgfcompatib/pgfplotsoldp +gfsupp_pgfutil-common-lists.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.stypdf2svg 011/example_01.pdf 011/example_01.svg -LaTeX Warning: There were undefined references. +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructure.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotslists +tructureext.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsarray +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsmatri +x.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/numtable/pgfplotstableshare +d.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.stypdf2svg 011/example_02.pdf 011/example_02.svg +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/liststructure/pgfplotsdeque +.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.code.te +x +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsbinary.data.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotsutil.verb.code +.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/pgflibrarypgfplots.sur +fshading.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/sys/pgflibrarypgfplots.surf +shading.pgfsys-pdftex.def))) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolormap.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/util/pgfplotscolor.code.tex +) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers +.code.tex -LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.stypdf2svg 011/example_03.pdf 011/example_03.svg +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsstackedplots.code.t +ex)pdf2svg 011/memdemo_00.pdf 011/memdemo_00.svg -Package rerunfilecheck Warning: File `yosyshqyosys.out' has changed. -(rerunfilecheck) Rerun to get outlines right -(rerunfilecheck) or use package `bookmark'. +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsplothandlers.code.t +ex +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.stypdf2svg 011/memdemo_01.pdf 011/memdemo_01.svg +) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplothandler.cod +e.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsmeshplotimage.code. +tex)pdf2svg 011/splice.pdf 011/splice.svg +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex +)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.scaling.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotscoordprocessing.cod +e.tex))pdf2svg 011/submod_00.pdf 011/submod_00.svg +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.errorbars.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.markers.code.tex +) (/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplotsticks.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/pgfplots.paths.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduledecorations.cod +e.texpdf2svg 011/submod_01.pdf 011/submod_01.svg - ) -(see the transcript file for additional information) -Output written on yosyshqyosys.pdf (340 pages, 1260208 bytes). -Transcript written on yosyshqyosys.log. -Latexmk: Missing input file 'yosyshqyosys.toc' (or dependence on it) from following: - No file yosyshqyosys.toc. -Latexmk: Missing input file 'yosyshqyosys.ind' (or dependence on it) from following: - No file yosyshqyosys.ind. -Latexmk: Getting log file 'yosyshqyosys.log' -Latexmk: Examining 'yosyshqyosys.fls' -Latexmk: Examining 'yosyshqyosys.log' -Latexmk: Index file 'yosyshqyosys.idx' was written -Latexmk: References changed. -Latexmk: References changed. -Latexmk: Log file says output to 'yosyshqyosys.pdf' -Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys -Latexmk: applying rule 'makeindex yosyshqyosys.idx'... -Rule 'makeindex yosyshqyosys.idx': Reasons for rerun -Category 'other': - Rerun of 'makeindex yosyshqyosys.idx' forced or previously required: - Reason or flag: 'Initial set up of rule' +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathmorphing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathmorphing.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarydecorations.pathreplacing.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/decorations/pgflibrary +decorations.pathreplacing.code.tex)pdf2svg 011/submod_02.pdf 011/submod_02.svg +) +(/usr/share/texlive/texmf-dist/tex/generic/pgfplots/libs/tikzlibrarypgfplots.co +ntourlua.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered +.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f)))))))))pdf2svg 011/submod_03.pdf 011/submod_03.svg +) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.texpdf2svg 011/sumprod_00.pdf 011/sumprod_00.svg + (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.stypdf2svg 011/sumprod_01.pdf 011/sumprod_01.svg ------------- -Run number 1 of rule 'makeindex yosyshqyosys.idx' ------------- ------------- -Running 'makeindex -s python.ist -o "yosyshqyosys.ind" "yosyshqyosys.idx"' ------------- -This is makeindex, version 2.17 [TeX Live 2023] (kpathsea + Thai support). -Scanning style file ./python.ist.......done (7 attributes redefined, 0 ignored). -Scanning input file yosyshqyosys.idx...done (0 entries accepted, 0 rejected). -Nothing written in yosyshqyosys.ind. -Transcript written in yosyshqyosys.ilg. -Latexmk: applying rule 'pdflatex'... -Rule 'pdflatex': Reasons for rerun -Changed files or newly in use/created: - yosyshqyosys.aux - yosyshqyosys.ind - yosyshqyosys.out - yosyshqyosys.toc +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def)))pdf2svg 011/sumprod_02.pdf 011/sumprod_02.svg +)pdf2svg 011/sumprod_03.pdf 011/sumprod_03.svg ------------- -Run number 2 of rule 'pdflatex' ------------- ------------- -Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------- -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -entering extended mode -(./yosyshqyosys.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(./sphinxmanual.cls -Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) -(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls -Document Class: report 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) -(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) -(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty -(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) -(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) -(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) -(./sphinxlatexadmonitions.sty -(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) -(./sphinxlatexliterals.sty -(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) -(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) -(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) -(./sphinxhighlight.sty) (./sphinxlatextables.sty -(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) -(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) -(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) -(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty -) (./sphinxlatexindbibtoc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) -(./sphinxlatexstylepage.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) -(./sphinxlatexstyleheadings.sty -(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) -(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty -(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) -(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty -Excluding comment 'comment') -Writing index file yosyshqyosys.idx -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./yosyshqyosys.aux) +No file overview_rtlil.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(./yosyshqyosys.out) (./yosyshqyosys.out) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> -(/usr/share/texmf/tex/latex/lm/omllmm.fd)<> -(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> -(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo -nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc -}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] [6]) [7] [8] [1] [2] -Chapter 1. -[3] [4] -Chapter 2. -(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>] -[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts -/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] [13 <./basics_flow.pdf>] [14] -[15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf/font -s/enc/dvips/lm/lm-rm.enc}] [18] -Chapter 3. -[19 <./approach_flow.pdf>] [20] [21] [22] -Chapter 4. -[23] [24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pd -f>] [25 <./overview_rtlil.pdf>] [26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) - [27] [28] [29] [30] -Underfull \hbox (badness 10000) in paragraph at lines 2065--2072 -[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p -asses/*/Makefile.inc and back- -[31] -Underfull \hbox (badness 6110) in paragraph at lines 2073--2076 -[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le -arn how to write passes are -[32] -Chapter 5. -[33] [34] [35] [36] [37] [38] [39] [40] [41] [42] - -LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available -(Font) Font shape `T1/lmr/b/sl' tried instead on input line 3382. - -[43] [44] [45] [46] [47] [48] [49] [50] -Chapter 6. -[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[52] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[53] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[54] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[55] [56] [57] -Underfull \vbox (badness 10000) detected at line 5745 - -Overfull \vbox (0.56999pt too high) detected at line 5745 -[58] [59] [60] -Chapter 7. -[61 <./verilog_flow.pdf>] -Underfull \hbox (badness 7777) in paragraph at lines 5988--5991 -[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] - -pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version -<1.7>, but at most version <1.5> allowed -[113] -Overfull \vbox (289.91435pt too high) has occurred while \output is active -[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] [116] [117] - -pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[118 <./example_03.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[119 <./sumprod_00.pdf>] +No file example_out.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiipdf2svg 011/sumprod_04.pdf 011/sumprod_04.svg -pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[120 <./sumprod_01.pdf>] [121 <./select_prod.pdf>] +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg) +[Loading MPS to PDF converter (version 2006.09.02).] +)pdf2svg 011/sumprod_05.pdf 011/sumprod_05.svg +) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.styrm -f *.log +)rm -f *.aux -pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[122 <./memdemo_00.pdf>] +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)rm -f 011/*.log 011/*.aux -pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[123 <./memdemo_01.pdf>] [124] [125 <./submod_dots.pdf>] [126] [127] [128] -Underfull \vbox (badness 10000) detected at line 10411 -[129] [130] [131] [132] -Appendix F. -[133] [134] [135] [136] -Underfull \vbox (badness 5985) detected at line 10833 -[137] [138] -Appendix G. -Excluding 'comment' comment. [139] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[140] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[141] [142] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11735 -[143] -Underfull \vbox (badness 10000) detected at line 11735 - -Overfull \vbox (0.56999pt too high) detected at line 11735 -[144] [145] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11949 -[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment. -Excluding 'comment' comment. [149] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [150] -Excluding 'comment' comment. Excluding 'comment' comment. [151] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [152] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 13042 -[153] Excluding 'comment' comment. Excluding 'comment' comment. [154] -Excluding 'comment' comment. Excluding 'comment' comment. [155] -Excluding 'comment' comment. Excluding 'comment' comment. [156] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [157] -Excluding 'comment' comment. [158] Excluding 'comment' comment. -Excluding 'comment' comment. [159] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [160] Excluding 'comment' comment. [161] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [162] [163] Excluding 'comment' comment. -Excluding 'comment' comment. [164] [165] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 14953 -[166] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [167] -Excluding 'comment' comment. Excluding 'comment' comment. [168] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [169] [170] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [171] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 15928 -[172] Excluding 'comment' comment. Excluding 'comment' comment. [173] -Excluding 'comment' comment. [174] -Underfull \vbox (badness 10000) detected at line 16419 - -Overfull \vbox (0.56999pt too high) detected at line 16419 -[175] Excluding 'comment' comment. Excluding 'comment' comment. [176] -Excluding 'comment' comment. Excluding 'comment' comment. [177] -Excluding 'comment' comment. Excluding 'comment' comment. [178] -Excluding 'comment' comment. Excluding 'comment' comment. [179] -Excluding 'comment' comment. [180] Excluding 'comment' comment. -Excluding 'comment' comment. [181] Excluding 'comment' comment. [182] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [183] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [184] Excluding 'comment' comment. -Excluding 'comment' comment. [185] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 18174 -[186] Excluding 'comment' comment. [187] Excluding 'comment' comment. -Excluding 'comment' comment. [188] Excluding 'comment' comment. [189] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [190] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [191] -Excluding 'comment' comment. [192] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [193] -Excluding 'comment' comment. Excluding 'comment' comment. [194] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [195] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [196] -Underfull \vbox (badness 10000) detected at line 19830 - -Overfull \vbox (0.56999pt too high) detected at line 19830 -[197] Excluding 'comment' comment. [198] Excluding 'comment' comment. -Excluding 'comment' comment. [199] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [200] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [201] [202] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 20690 -[203] Excluding 'comment' comment. Excluding 'comment' comment. [204] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [205] Excluding 'comment' comment. -Excluding 'comment' comment. [206] Excluding 'comment' comment. -Excluding 'comment' comment. [207] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [208] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [209] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [210] Excluding 'comment' comment. -Excluding 'comment' comment. [211] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [212] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 22309 -[213] Excluding 'comment' comment. [214] Excluding 'comment' comment. -Excluding 'comment' comment. [215] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [216] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [217] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 23043 -[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [221] Excluding 'comment' comment. -Excluding 'comment' comment. [222] Excluding 'comment' comment. -Excluding 'comment' comment. [223] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[224] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[225] Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.1248pt too high) detected at line 24381 -[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[229] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[230] Excluding 'comment' comment. Excluding 'comment' comment. [231] -Excluding 'comment' comment. [232] Excluding 'comment' comment. -Excluding 'comment' comment. [233] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[234] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[235] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[236] [237] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 26099 -[238] Excluding 'comment' comment. Excluding 'comment' comment. [239] -Excluding 'comment' comment. [240] -Underfull \vbox (badness 10000) detected at line 26539 - -Overfull \vbox (0.56999pt too high) detected at line 26539 -[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243] -Underfull \vbox (badness 10000) detected at line 27097 - -Overfull \vbox (0.56999pt too high) detected at line 27097 -[244] Excluding 'comment' comment. Excluding 'comment' comment. [245] -Excluding 'comment' comment. Excluding 'comment' comment. [246] -Excluding 'comment' comment. Excluding 'comment' comment. [247] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 27917 -[248] -Underfull \vbox (badness 10000) detected at line 27917 - -Overfull \vbox (0.56999pt too high) detected at line 27917 -[249] Excluding 'comment' comment. [250] -Underfull \vbox (badness 10000) detected at line 28099 - -Overfull \vbox (0.56999pt too high) detected at line 28099 -[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 28556 -[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 29176 -[257] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[258] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[259] Excluding 'comment' comment. [260] -Underfull \vbox (badness 10000) detected at line 29414 - -Overfull \vbox (0.56999pt too high) detected at line 29414 -[261] Excluding 'comment' comment. [262] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[263] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[264] Excluding 'comment' comment. [265] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[266] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[267] Excluding 'comment' comment. [268] -Underfull \vbox (badness 10000) detected at line 30564 - -Overfull \vbox (0.56999pt too high) detected at line 30564 -[269] Excluding 'comment' comment. [270] -Underfull \vbox (badness 10000) detected at line 30781 - -Overfull \vbox (0.56999pt too high) detected at line 30781 -[271] Excluding 'comment' comment. [272] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[273] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[274] [275] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 31538 -[276] -Underfull \vbox (badness 10000) detected at line 31538 - -Overfull \vbox (0.56999pt too high) detected at line 31538 -[277] Excluding 'comment' comment. [278] -Underfull \vbox (badness 10000) detected at line 31882 - -Overfull \vbox (0.56999pt too high) detected at line 31882 -[279] Excluding 'comment' comment. [280] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[281] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[282] [283] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32712 -[284] -Underfull \vbox (badness 10000) detected at line 32712 - -Overfull \vbox (0.56999pt too high) detected at line 32712 -[285] [286] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32972 -[287] -Underfull \vbox (badness 10000) detected at line 32972 - -Overfull \vbox (0.56999pt too high) detected at line 32972 -[288] Excluding 'comment' comment. [289] -Underfull \vbox (badness 10000) detected at line 33239 - -Overfull \vbox (0.56999pt too high) detected at line 33239 -[290] Excluding 'comment' comment. [291] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[292] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295] -Excluding 'comment' comment. [296] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[297] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[298] Excluding 'comment' comment. Excluding 'comment' comment. [299] -Excluding 'comment' comment. Excluding 'comment' comment. [300] -Excluding 'comment' comment. [301] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [302] -Excluding 'comment' comment. Excluding 'comment' comment. [303] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[304] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[305] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[306] Excluding 'comment' comment. Excluding 'comment' comment. [307] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 35736 -[308] Excluding 'comment' comment. Excluding 'comment' comment. [309] -Excluding 'comment' comment. Excluding 'comment' comment. [310] -Underfull \vbox (badness 10000) detected at line 36214 - -Overfull \vbox (0.56999pt too high) detected at line 36214 -[311] Excluding 'comment' comment. Excluding 'comment' comment. [312] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[313] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[314] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[315] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[316] Excluding 'comment' comment. [317] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [318] Excluding 'comment' comment. -Excluding 'comment' comment. [319] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[320] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[321] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[322] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[323] Excluding 'comment' comment. Excluding 'comment' comment. [324] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 38291 -[325] -Underfull \vbox (badness 10000) detected at line 38291 - -Overfull \vbox (0.56999pt too high) detected at line 38291 -[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328] -Excluding 'comment' comment. Excluding 'comment' comment. [329] -Underfull \vbox (badness 10000) detected at line 38796 - -Overfull \vbox (0.56999pt too high) detected at line 38796 -[330] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [331] Excluding 'comment' comment. [332] -Excluding 'comment' comment. [333] Excluding 'comment' comment. [334] -Underfull \hbox (badness 5652) in paragraph at lines 39288--39290 -\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s -ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. - -Underfull \hbox (badness 7685) in paragraph at lines 39291--39293 -[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo -r ver-ilog hard-ware de- - -Underfull \hbox (badness 5022) in paragraph at lines 39291--39293 -\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- -sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[335] (./yosyshqyosys.ind) [336] (./yosyshqyosys.aux) ) -(see the transcript file for additional information) -Output written on yosyshqyosys.pdf (346 pages, 1329577 bytes). -Transcript written on yosyshqyosys.log. -Latexmk: Getting log file 'yosyshqyosys.log' -Latexmk: Examining 'yosyshqyosys.fls' -Latexmk: Examining 'yosyshqyosys.log' -Latexmk: Index file 'yosyshqyosys.idx' was written -Latexmk: Log file says output to 'yosyshqyosys.pdf' -Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys -Latexmk: applying rule 'pdflatex'... -Rule 'pdflatex': Reasons for rerun -Changed files or newly in use/created: - yosyshqyosys.aux - ------------- -Run number 3 of rule 'pdflatex' ------------- ------------- -Running 'pdflatex -recorder "yosyshqyosys.tex"' ------------- -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - restricted \write18 enabled. -entering extended mode -(./yosyshqyosys.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(./sphinxmanual.cls -Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) -(/usr/share/texlive/texmf-dist/tex/latex/base/report.cls -Document Class: report 2023/05/17 v1.4n Standard LaTeX document class -(/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) -(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texmf/tex/latex/tex-gyre/tgtermes.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) -(/usr/share/texmf/tex/latex/tex-gyre/tgheros.sty) -(/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty -(/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(./sphinxoptionshyperref.sty) (./sphinxoptionsgeometry.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) -(/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) -(/usr/share/texlive/texmf-dist/tex/latex/tools/multicol.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) -(./sphinxlatexgraphics.sty) (./sphinxpackageboxes.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.sty -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/pict2e.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/pict2e/p2e-pdftex.def)) -(/usr/share/texlive/texmf-dist/tex/latex/ellipse/ellipse.sty)) -(./sphinxlatexadmonitions.sty -(/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty)) -(./sphinxlatexliterals.sty -(/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) -(/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty)) -(./sphinxlatexshadowbox.sty) (./sphinxlatexcontainers.sty) -(./sphinxhighlight.sty) (./sphinxlatextables.sty -(/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) -(/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) -(/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty)) -(./sphinxlatexnumfig.sty) (./sphinxlatexlists.sty) (./sphinxpackagefootnote.sty -) (./sphinxlatexindbibtoc.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty)) -(./sphinxlatexstylepage.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty -(/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty)) -(./sphinxlatexstyleheadings.sty -(/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty)) -(./sphinxlatexstyletext.sty) (./sphinxlatexobjects.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty -(/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty)) -(./sphinxmessages.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/comment/comment.sty -Excluding comment 'comment') -Writing index file yosyshqyosys.idx -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./yosyshqyosys.aux) +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty +No file overview_flow.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltxcd 011 && pdflatex select_prod.tex --interaction=nonstopmode +) [Loading MPS to PDF converter (version 2006.09.02).] -) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex -(./yosyshqyosys.out) (./yosyshqyosys.out) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) -(/usr/share/texmf/tex/latex/lm/ot1lmr.fd)<> -(/usr/share/texmf/tex/latex/lm/omllmm.fd)<> -(/usr/share/texmf/tex/latex/lm/omslmsy.fd)<> -(/usr/share/texmf/tex/latex/lm/omxlmex.fd)<> -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/var/lib/texmf/fo -nts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/enc/dvips/lm/lm-ec.enc -}] [2] (./yosyshqyosys.toc [1] [2] [3] [4] [5] [6]) [7] [8] [1] [2] -Chapter 1. -[3] [4] -Chapter 2. -(/usr/share/texmf/tex/latex/lm/t1lmtt.fd) [5 <./basics_abstractions.pdf>] -[6] [7] [8] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [9{/usr/share/texmf/fonts -/enc/dvips/lm/lm-ts1.enc}] [10] [11] [12] [13 <./basics_flow.pdf>] [14] -[15 <./basics_parsetree.pdf> <./basics_ast.pdf>] [16] [17{/usr/share/texmf/font -s/enc/dvips/lm/lm-rm.enc}] [18] -Chapter 3. -[19 <./approach_flow.pdf>] [20] [21] [22] -Chapter 4. -[23] [24{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.enc} <./overview_flow.pd -f>] [25 <./overview_rtlil.pdf>] [26] (/usr/share/texmf/tex/latex/lm/ts1lmtt.fd) - [27] [28] [29] [30] -Underfull \hbox (badness 10000) in paragraph at lines 2065--2072 -[]\T1/lmr/m/n/10 The top-level Make-file in-cludes fron-tends/*/Makefile.inc, p -asses/*/Makefile.inc and back- -[31] -Underfull \hbox (badness 6110) in paragraph at lines 2073--2076 -[]\T1/lmr/m/n/10 Good start-ing points for read-ing ex-am-ple source code to le -arn how to write passes are -[32] -Chapter 5. -[33] [34] [35] [36] [37] [38] [39] [40] [41] [42] - -LaTeX Font Warning: Font shape `T1/lmr/b/it' in size <10> not available -(Font) Font shape `T1/lmr/b/sl' tried instead on input line 3382. - -[43] [44] [45] [46] [47] [48] [49] [50] -Chapter 6. -[51{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[52] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[53] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[54] -Underfull \vbox (badness 10000) detected at line 5565 - -Overfull \vbox (0.56999pt too high) detected at line 5565 -[55] [56] [57] -Underfull \vbox (badness 10000) detected at line 5745 - -Overfull \vbox (0.56999pt too high) detected at line 5745 -[58] [59] [60] -Chapter 7. -[61 <./verilog_flow.pdf>] -Underfull \hbox (badness 7777) in paragraph at lines 5988--5991 -[]|\T1/lmr/m/n/10 Con-struct an iden-ti-fier in the form ] - -pdfTeX warning: pdflatex (file ./splice.pdf): PDF inclusion: found PDF version -<1.7>, but at most version <1.5> allowed -[113] -Overfull \vbox (289.91435pt too high) has occurred while \output is active -[114 <./splice.pdf>] [115 <./splitnets_libfile.pdf>] [116] [117] - -pdfTeX warning: pdflatex (file ./example_03.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[118 <./example_03.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[119 <./sumprod_00.pdf>] - -pdfTeX warning: pdflatex (file ./sumprod_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[120 <./sumprod_01.pdf>] [121 <./select_prod.pdf>] - -pdfTeX warning: pdflatex (file ./memdemo_00.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[122 <./memdemo_00.pdf>] +) +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)})] +! I can't find file `basics_flow.aux'. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.44 \end{document} + +(Press Enter to retry, or Control-D to exit) +Please type another input file name: +! Emergency stop. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.44 \end{document} + +! ==> Fatal error occurred, no output PDF file produced! +Transcript written on basics_flow.log. +pdf2svg 011/splitnets_libfile.pdf 011/splitnets_libfile.svg -pdfTeX warning: pdflatex (file ./memdemo_01.pdf): PDF inclusion: found PDF vers -ion <1.7>, but at most version <1.5> allowed -[123 <./memdemo_01.pdf>] [124] [125 <./submod_dots.pdf>] [126] [127] [128] -Underfull \vbox (badness 10000) detected at line 10411 -[129] [130] [131] [132] -Appendix F. -[133] [134] [135] [136] -Underfull \vbox (badness 5985) detected at line 10833 -[137] [138] -Appendix G. -Excluding 'comment' comment. [139] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[140] -Underfull \vbox (badness 10000) detected at line 11361 - -Overfull \vbox (0.56999pt too high) detected at line 11361 -[141] [142] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11735 -[143] -Underfull \vbox (badness 10000) detected at line 11735 - -Overfull \vbox (0.56999pt too high) detected at line 11735 -[144] [145] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 11949 -[146] Excluding 'comment' comment. [147] [148] Excluding 'comment' comment. -Excluding 'comment' comment. [149] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [150] -Excluding 'comment' comment. Excluding 'comment' comment. [151] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [152] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 13042 -[153] Excluding 'comment' comment. Excluding 'comment' comment. [154] -Excluding 'comment' comment. Excluding 'comment' comment. [155] -Excluding 'comment' comment. Excluding 'comment' comment. [156] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [157] -Excluding 'comment' comment. [158] Excluding 'comment' comment. -Excluding 'comment' comment. [159] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [160] Excluding 'comment' comment. [161] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [162] [163] Excluding 'comment' comment. -Excluding 'comment' comment. [164] [165] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 14953 -[166] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [167] -Excluding 'comment' comment. Excluding 'comment' comment. [168] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [169] [170] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [171] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 15928 -[172] Excluding 'comment' comment. Excluding 'comment' comment. [173] -Excluding 'comment' comment. [174] -Underfull \vbox (badness 10000) detected at line 16419 - -Overfull \vbox (0.56999pt too high) detected at line 16419 -[175] Excluding 'comment' comment. Excluding 'comment' comment. [176] -Excluding 'comment' comment. Excluding 'comment' comment. [177] -Excluding 'comment' comment. Excluding 'comment' comment. [178] -Excluding 'comment' comment. Excluding 'comment' comment. [179] -Excluding 'comment' comment. [180] Excluding 'comment' comment. -Excluding 'comment' comment. [181] Excluding 'comment' comment. [182] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [183] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [184] Excluding 'comment' comment. -Excluding 'comment' comment. [185] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 18174 -[186] Excluding 'comment' comment. [187] Excluding 'comment' comment. -Excluding 'comment' comment. [188] Excluding 'comment' comment. [189] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [190] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [191] -Excluding 'comment' comment. [192] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [193] -Excluding 'comment' comment. Excluding 'comment' comment. [194] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [195] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [196] -Underfull \vbox (badness 10000) detected at line 19830 - -Overfull \vbox (0.56999pt too high) detected at line 19830 -[197] Excluding 'comment' comment. [198] Excluding 'comment' comment. -Excluding 'comment' comment. [199] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [200] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [201] [202] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 20690 -[203] Excluding 'comment' comment. Excluding 'comment' comment. [204] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [205] Excluding 'comment' comment. -Excluding 'comment' comment. [206] Excluding 'comment' comment. -Excluding 'comment' comment. [207] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [208] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [209] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [210] Excluding 'comment' comment. -Excluding 'comment' comment. [211] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [212] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 22309 -[213] Excluding 'comment' comment. [214] Excluding 'comment' comment. -Excluding 'comment' comment. [215] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [216] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [217] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 23043 -[218] Excluding 'comment' comment. [219] Excluding 'comment' comment. [220] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [221] Excluding 'comment' comment. -Excluding 'comment' comment. [222] Excluding 'comment' comment. -Excluding 'comment' comment. [223] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[224] -Underfull \vbox (badness 10000) detected at line 24188 - -Overfull \vbox (0.56999pt too high) detected at line 24188 -[225] Excluding 'comment' comment. Excluding 'comment' comment. -Overfull \vbox (1.1248pt too high) detected at line 24381 -[226] [227] Excluding 'comment' comment. Excluding 'comment' comment. [228] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[229] -Underfull \vbox (badness 10000) detected at line 24989 - -Overfull \vbox (0.56999pt too high) detected at line 24989 -[230] Excluding 'comment' comment. Excluding 'comment' comment. [231] -Excluding 'comment' comment. [232] Excluding 'comment' comment. -Excluding 'comment' comment. [233] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[234] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[235] -Underfull \vbox (badness 10000) detected at line 25889 - -Overfull \vbox (0.56999pt too high) detected at line 25889 -[236] [237] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 26099 -[238] Excluding 'comment' comment. Excluding 'comment' comment. [239] -Excluding 'comment' comment. [240] -Underfull \vbox (badness 10000) detected at line 26539 - -Overfull \vbox (0.56999pt too high) detected at line 26539 -[241] Excluding 'comment' comment. [242] Excluding 'comment' comment. [243] -Underfull \vbox (badness 10000) detected at line 27097 - -Overfull \vbox (0.56999pt too high) detected at line 27097 -[244] Excluding 'comment' comment. Excluding 'comment' comment. [245] -Excluding 'comment' comment. Excluding 'comment' comment. [246] -Excluding 'comment' comment. Excluding 'comment' comment. [247] -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. -Overfull \vbox (1.2251pt too high) detected at line 27917 -[248] -Underfull \vbox (badness 10000) detected at line 27917 - -Overfull \vbox (0.56999pt too high) detected at line 27917 -[249] Excluding 'comment' comment. [250] -Underfull \vbox (badness 10000) detected at line 28099 - -Overfull \vbox (0.56999pt too high) detected at line 28099 -[251] Excluding 'comment' comment. [252] [253] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 28556 -[254] Excluding 'comment' comment. [255] [256] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 29176 -[257] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[258] -Underfull \vbox (badness 10000) detected at line 29176 - -Overfull \vbox (0.56999pt too high) detected at line 29176 -[259] Excluding 'comment' comment. [260] -Underfull \vbox (badness 10000) detected at line 29414 - -Overfull \vbox (0.56999pt too high) detected at line 29414 -[261] Excluding 'comment' comment. [262] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[263] -Underfull \vbox (badness 10000) detected at line 29861 - -Overfull \vbox (0.56999pt too high) detected at line 29861 -[264] Excluding 'comment' comment. [265] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[266] -Underfull \vbox (badness 10000) detected at line 30243 - -Overfull \vbox (0.56999pt too high) detected at line 30243 -[267] Excluding 'comment' comment. [268] -Underfull \vbox (badness 10000) detected at line 30564 - -Overfull \vbox (0.56999pt too high) detected at line 30564 -[269] Excluding 'comment' comment. [270] -Underfull \vbox (badness 10000) detected at line 30781 - -Overfull \vbox (0.56999pt too high) detected at line 30781 -[271] Excluding 'comment' comment. [272] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[273] -Underfull \vbox (badness 10000) detected at line 31263 - -Overfull \vbox (0.56999pt too high) detected at line 31263 -[274] [275] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 31538 -[276] -Underfull \vbox (badness 10000) detected at line 31538 - -Overfull \vbox (0.56999pt too high) detected at line 31538 -[277] Excluding 'comment' comment. [278] -Underfull \vbox (badness 10000) detected at line 31882 - -Overfull \vbox (0.56999pt too high) detected at line 31882 -[279] Excluding 'comment' comment. [280] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[281] -Underfull \vbox (badness 10000) detected at line 32321 - -Overfull \vbox (0.56999pt too high) detected at line 32321 -[282] [283] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32712 -[284] -Underfull \vbox (badness 10000) detected at line 32712 - -Overfull \vbox (0.56999pt too high) detected at line 32712 -[285] [286] Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 32972 -[287] -Underfull \vbox (badness 10000) detected at line 32972 - -Overfull \vbox (0.56999pt too high) detected at line 32972 -[288] Excluding 'comment' comment. [289] -Underfull \vbox (badness 10000) detected at line 33239 - -Overfull \vbox (0.56999pt too high) detected at line 33239 -[290] Excluding 'comment' comment. [291] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[292] -Underfull \vbox (badness 10000) detected at line 33742 - -Overfull \vbox (0.56999pt too high) detected at line 33742 -[293] [294] Excluding 'comment' comment. Excluding 'comment' comment. [295] -Excluding 'comment' comment. [296] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[297] -Underfull \vbox (badness 10000) detected at line 34287 - -Overfull \vbox (0.56999pt too high) detected at line 34287 -[298] Excluding 'comment' comment. Excluding 'comment' comment. [299] -Excluding 'comment' comment. Excluding 'comment' comment. [300] -Excluding 'comment' comment. [301] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. [302] -Excluding 'comment' comment. Excluding 'comment' comment. [303] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[304] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[305] -Underfull \vbox (badness 10000) detected at line 35380 - -Overfull \vbox (0.56999pt too high) detected at line 35380 -[306] Excluding 'comment' comment. Excluding 'comment' comment. [307] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 35736 -[308] Excluding 'comment' comment. Excluding 'comment' comment. [309] -Excluding 'comment' comment. Excluding 'comment' comment. [310] -Underfull \vbox (badness 10000) detected at line 36214 - -Overfull \vbox (0.56999pt too high) detected at line 36214 -[311] Excluding 'comment' comment. Excluding 'comment' comment. [312] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[313] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[314] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[315] -Underfull \vbox (badness 10000) detected at line 36907 - -Overfull \vbox (0.56999pt too high) detected at line 36907 -[316] Excluding 'comment' comment. [317] Excluding 'comment' comment. -Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [318] Excluding 'comment' comment. -Excluding 'comment' comment. [319] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[320] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[321] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[322] -Underfull \vbox (badness 10000) detected at line 37818 - -Overfull \vbox (0.56999pt too high) detected at line 37818 -[323] Excluding 'comment' comment. Excluding 'comment' comment. [324] -Excluding 'comment' comment. -Underfull \vbox (badness 10000) detected at line 38291 -[325] -Underfull \vbox (badness 10000) detected at line 38291 - -Overfull \vbox (0.56999pt too high) detected at line 38291 -[326] [327] Excluding 'comment' comment. Excluding 'comment' comment. [328] -Excluding 'comment' comment. Excluding 'comment' comment. [329] -Underfull \vbox (badness 10000) detected at line 38796 - -Overfull \vbox (0.56999pt too high) detected at line 38796 -[330] Excluding 'comment' comment. Excluding 'comment' comment. -Excluding 'comment' comment. [331] Excluding 'comment' comment. [332] -Excluding 'comment' comment. [333] Excluding 'comment' comment. [334] -Underfull \hbox (badness 5652) in paragraph at lines 39288--39290 -\T1/lmr/m/n/10 (rtl) syn-the-sis. \T1/lmr/m/it/10 IEEE Std 1076.6-2004 (Re-vi-s -ion of IEEE Std 1076.6-1999)\T1/lmr/m/n/10 , 2004. - -Underfull \hbox (badness 7685) in paragraph at lines 39291--39293 -[]\T1/lmr/m/n/10 IEEE Stan-dards As-so-ci-a-tion and oth-ers. Ieee stan-dard fo -r ver-ilog hard-ware de- - -Underfull \hbox (badness 5022) in paragraph at lines 39291--39293 -\T1/lmr/m/n/10 scrip-tion lan-guage. \T1/lmr/m/it/10 IEEE Std 1364-2005 (Re-vi- -sion of IEEE Std 1364-2001)\T1/lmr/m/n/10 , 2006. -[335] (./yosyshqyosys.ind) [336] (./yosyshqyosys.aux) ) -(see the transcript file for additional information) -Output written on yosyshqyosys.pdf (346 pages, 1329577 bytes). -Transcript written on yosyshqyosys.log. -Latexmk: Getting log file 'yosyshqyosys.log' -Latexmk: Examining 'yosyshqyosys.fls' -Latexmk: Examining 'yosyshqyosys.log' -Latexmk: Index file 'yosyshqyosys.idx' was written -Latexmk: Log file says output to 'yosyshqyosys.pdf' -Have index file 'yosyshqyosys.idx', yosyshqyosys.ind yosyshqyosys -Latexmk: All targets (yosyshqyosys.pdf) are up-to-date - -make[4]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/build/latex' -pdflatex finished; the PDF files are in build/latex. -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/docs' -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33' -cd manual/ && ./presentation.sh -+ false -+ md5sum '*.aux' '*.snm' '*.nav' '*.toc' -md5sum: '*.aux': No such file or directory -md5sum: '*.snm': No such file or directory -md5sum: '*.nav': No such file or directory -md5sum: '*.toc': No such file or directory -+ make -C PRESENTATION_Intro -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Intro' -../../yosys counter.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `counter.ys' -- - -1. Executing Verilog-2005 frontend: counter.v -Parsing Verilog input from `counter.v' to AST representation. -Generating RTLIL representation for module `\counter'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \counter - -2.2. Analyzing design hierarchy.. -Top module: \counter -Removed 0 unused modules. - -3. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `counter_00.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf' - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\counter.$proc$counter.v:6$1'. - 1/1: $0\count[1:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. - created $dff cell `$procdff$8' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. -Removing empty process `counter.$proc$counter.v:6$1'. -Cleaned up 2 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). -Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). -Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 5 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Executing MEMORY pass. - -6.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - -6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). - -6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - -6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -6.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -6.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). - -7. Executing OPT pass (performing simple optimizations). - -7.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -7.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -7.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -7.6. Executing OPT_DFF pass (perform DFF optimizations). - -7.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -7.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -7.9. Finished OPT passes. (There is nothing left to do.) - -8. Executing FSM pass (extract and optimize FSM). - -8.1. Executing FSM_DETECT pass (finding FSMs in design). - -8.2. Executing FSM_EXTRACT pass (extracting FSM from design). - -8.3. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -8.5. Executing FSM_OPT pass (simple optimizations of FSMs). - -8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). - -8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). - -8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). - -9. Executing OPT pass (performing simple optimizations). - -9.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -9.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -9.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -9.6. Executing OPT_DFF pass (perform DFF optimizations). - -9.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -9.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -9.9. Finished OPT passes. (There is nothing left to do.) - -10. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `counter_01.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf' - -11. Executing TECHMAP pass (map to technology primitives). - -11.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.33/share/techmap.v -Parsing Verilog input from `/build/reproducible-path/yosys-0.33/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -11.2. Continuing TECHMAP pass. -Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. -Using extmapper simplemap for cells of type $sdffe. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. -Using extmapper simplemap for cells of type $xor. -Using extmapper simplemap for cells of type $and. -Using template $paramod\_90_lcu\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. -Using extmapper simplemap for cells of type $pos. -Using extmapper simplemap for cells of type $mux. -Using extmapper simplemap for cells of type $not. -Using extmapper simplemap for cells of type $or. -No more expansions possible. - - -12. Executing OPT pass (performing simple optimizations). - -12.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - - -12.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. - -Removed a total of 1 cells. - -12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -12.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.6. Executing OPT_DFF pass (perform DFF optimizations). - -12.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. -Removed 2 unused cells and 32 unused wires. - - -12.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -12.9. Rerunning OPT passes. (Maybe there is more to do..) - -12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \counter.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \counter. -Performed a total of 0 changes. - -12.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\counter'. -Removed a total of 0 cells. - -12.13. Executing OPT_DFF pass (perform DFF optimizations). - -12.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \counter.. - -12.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module counter. - -12.16. Finished OPT passes. (There is nothing left to do.) - -13. Executing SPLITNETS pass (splitting up multi-bit signals). -Removed 0 unused cells and 2 unused wires. - -14. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `counter_02.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf' - -15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). - cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. - final dff cell mappings: - unmapped dff cell: $_DFF_N_ - \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); - unmapped dff cell: $_DFF_NN0_ - unmapped dff cell: $_DFF_NN1_ - unmapped dff cell: $_DFF_NP0_ - unmapped dff cell: $_DFF_NP1_ - unmapped dff cell: $_DFF_PN0_ - unmapped dff cell: $_DFF_PN1_ - unmapped dff cell: $_DFF_PP0_ - unmapped dff cell: $_DFF_PP1_ - unmapped dff cell: $_DFFSR_NNN_ - unmapped dff cell: $_DFFSR_NNP_ - unmapped dff cell: $_DFFSR_NPN_ - unmapped dff cell: $_DFFSR_NPP_ - unmapped dff cell: $_DFFSR_PNN_ - unmapped dff cell: $_DFFSR_PNP_ - unmapped dff cell: $_DFFSR_PPN_ - unmapped dff cell: $_DFFSR_PPP_ - -15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). -Mapping DFF cells in module `\counter': - mapped 2 $_DFF_P_ cells to \DFF cells. - -16. Executing ABC pass (technology mapping using ABC). - -16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. -Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. - -16.1.1. Executing ABC. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /manual/PRESENTATION_Intro/mycells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.00 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -16.1.2. Re-integrating ABC results. -ABC RESULTS: NAND cells: 3 -ABC RESULTS: NOT cells: 2 -ABC RESULTS: NOR cells: 5 -ABC RESULTS: internal signals: 5 -ABC RESULTS: input signals: 4 -ABC RESULTS: output signals: 2 -Removing temp directory. -Removed 0 unused cells and 10 unused wires. - -17. Generating Graphviz representation of design. - -17.1. Executing Verilog-2005 frontend: mycells.v -Parsing Verilog input from `mycells.v' to AST representation. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Successfully finished Verilog frontend. - -17.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `counter_03.dot'. -Dumping module counter to page 1. -Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' - -End of script. Logfile hash: 1423b7c050, CPU: user 0.08s system 0.01s, MEM: 11.61 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 87% 4x show (1 sec), 6% 1x abc (0 sec), ... -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Intro' -+ make -C PRESENTATION_ExSyn -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExSyn' -../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' -- - --- Executing script file `proc_01.ys' -- - -1. Executing Verilog-2005 frontend: proc_01.v -Parsing Verilog input from `proc_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_01.v:2$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_01.v:2$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. - created $adff cell `$procdff$2' with positive edge clock and positive level reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_01.v:2$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 1 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `proc_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' - -End of script. Logfile hash: c6fd6e6895, CPU: user 0.00s system 0.01s, MEM: 9.26 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' -- - --- Executing script file `proc_02.ys' -- - -1. Executing Verilog-2005 frontend: proc_02.v -Parsing Verilog input from `proc_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \R in `\test.$proc$proc_02.v:3$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_02.v:3$1'. - 1/1: $0\Q[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. -Warning: Async reset value `\RV' is not constant! - created $aldff cell `$procdff$2' with positive edge clock and positive level non-const reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$proc_02.v:3$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 1 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `proc_02.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' - -Warnings: 1 unique messages, 1 total -End of script. Logfile hash: 6c9c8edaef, CPU: user 0.01s system 0.00s, MEM: 9.37 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' -- - --- Executing script file `proc_03.ys' -- - -1. Executing Verilog-2005 frontend: proc_03.v -Parsing Verilog input from `proc_03.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$proc_03.v:3$1'. - 1/1: $0\Y[0:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). -No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. -Removing empty process `test.$proc$proc_03.v:3$1'. -Cleaned up 2 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 0 unused cells and 4 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `proc_03.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' - -End of script. Logfile hash: 13c48860df, CPU: user 0.01s system 0.00s, MEM: 9.20 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' -- - --- Executing script file `opt_01.ys' -- - -1. Executing Verilog-2005 frontend: opt_01.v -Parsing Verilog input from `opt_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing OPT pass (performing simple optimizations). - -3.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. - dead port 2/2 on $mux $ternary$opt_01.v:2$1. -Removed 1 multiplexer ports. - - -3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. - Optimizing cells in module \test. -Performed a total of 1 changes. - -3.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.6. Executing OPT_DFF pass (perform DFF optimizations). - -3.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -3.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.9. Rerunning OPT passes. (Maybe there is more to do..) - -3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.13. Executing OPT_DFF pass (perform DFF optimizations). - -3.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -3.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.16. Finished OPT passes. (There is nothing left to do.) - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' - -End of script. Logfile hash: 9f52b2c276, CPU: user 0.02s system 0.00s, MEM: 8.87 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 96% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... -../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' -- - --- Executing script file `opt_02.ys' -- - -1. Executing Verilog-2005 frontend: opt_02.v -Parsing Verilog input from `opt_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing OPT pass (performing simple optimizations). - -3.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -3.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.6. Executing OPT_DFF pass (perform DFF optimizations). - -3.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -3.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.9. Rerunning OPT passes. (Maybe there is more to do..) - -3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.13. Executing OPT_DFF pass (perform DFF optimizations). - -3.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -3.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.16. Finished OPT passes. (There is nothing left to do.) - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_02.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' - -End of script. Logfile hash: 5a4000bb43, CPU: user 0.01s system 0.00s, MEM: 8.93 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... -../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' -- - --- Executing script file `opt_03.ys' -- - -1. Executing Verilog-2005 frontend: opt_03.v -Parsing Verilog input from `opt_03.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing OPT pass (performing simple optimizations). - -3.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. - -Removed a total of 1 cells. - -3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.6. Executing OPT_DFF pass (perform DFF optimizations). - -3.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -3.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.9. Rerunning OPT passes. (Maybe there is more to do..) - -3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -3.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -3.13. Executing OPT_DFF pass (perform DFF optimizations). - -3.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -3.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -3.16. Finished OPT passes. (There is nothing left to do.) - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_03.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' - -End of script. Logfile hash: 0cd024bc02, CPU: user 0.02s system 0.00s, MEM: 8.85 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 95% 1x show (0 sec), 1% 3x opt_expr (0 sec), ... -../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' -- - --- Executing script file `opt_04.ys' -- - -1. Executing Verilog-2005 frontend: opt_04.v -Parsing Verilog input from `opt_04.v' to AST representation. -Generating RTLIL representation for module `\test'. -Warning: wire '\Q1' is assigned in a block at opt_04.v:8.3-8.11. -Warning: wire '\Q2' is assigned in a block at opt_04.v:12.3-12.11. -Warning: wire '\Q2' is assigned in a block at opt_04.v:14.3-14.11. -Warning: wire '\Q3' is assigned in a block at opt_04.v:17.2-17.10. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). -Found async reset \ARST in `\test.$proc$opt_04.v:10$2'. -Found async reset \ARST in `\test.$proc$opt_04.v:6$1'. - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$opt_04.v:16$3'. -Creating decoders for process `\test.$proc$opt_04.v:10$2'. - 1/1: $0\Q2[7:0] -Creating decoders for process `\test.$proc$opt_04.v:6$1'. - 1/1: $0\Q1[7:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'. - created $dff cell `$procdff$4' with positive edge clock. -Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'. - created $adff cell `$procdff$5' with positive edge clock and positive level reset. -Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'. - created $adff cell `$procdff$6' with positive edge clock and positive level reset. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$opt_04.v:16$3'. -Removing empty process `test.$proc$opt_04.v:10$2'. -Removing empty process `test.$proc$opt_04.v:6$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). -Handling const CLK on $procdff$5 ($adff) from module test (removing D path). -Handling D = Q on $procdff$6 ($adff) from module test (removing D path). -Setting constant 0-bit at position 0 on $procdff$6 ($dlatch) from module test. -Setting constant 1-bit at position 1 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 2 on $procdff$6 ($dlatch) from module test. -Setting constant 1-bit at position 3 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 4 on $procdff$6 ($dlatch) from module test. -Setting constant 1-bit at position 5 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 6 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 7 on $procdff$6 ($dlatch) from module test. -Setting constant 0-bit at position 0 on $procdff$5 ($dlatch) from module test. -Setting constant 1-bit at position 1 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 2 on $procdff$5 ($dlatch) from module test. -Setting constant 1-bit at position 3 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 4 on $procdff$5 ($dlatch) from module test. -Setting constant 1-bit at position 5 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 6 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 7 on $procdff$5 ($dlatch) from module test. -Setting constant 0-bit at position 0 on $procdff$4 ($dff) from module test. -Setting constant 1-bit at position 1 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 2 on $procdff$4 ($dff) from module test. -Setting constant 1-bit at position 3 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 4 on $procdff$4 ($dff) from module test. -Setting constant 1-bit at position 5 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 6 on $procdff$4 ($dff) from module test. -Setting constant 0-bit at position 7 on $procdff$4 ($dff) from module test. - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 3 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.16. Finished OPT passes. (There is nothing left to do.) - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `opt_04.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' - -Warnings: 4 unique messages, 4 total -End of script. Logfile hash: 350e16de2a, CPU: user 0.02s system 0.00s, MEM: 9.40 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 95% 1x show (0 sec), 1% 4x opt_expr (0 sec), ... -../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' -- - --- Executing script file `memory_01.ys' -- - -1. Executing Verilog-2005 frontend: memory_01.v -Parsing Verilog input from `memory_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 4 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_01.v:5$2'. - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$7' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$9' with positive edge clock. -Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. - created $dff cell `$procdff$10' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_01.v:5$2'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 3 unused cells and 7 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.mem write port 0. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Checking read port `\mem'[0] in module `\test': merging output FF to cell. - Write port 0: non-transparent. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 9 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). -Mapping memory \mem in module \test: - created 2 $dff cells and 0 static cells of width 8. -Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] - read interface: 1 $dff and 1 $mux cells. - write interface: 2 write mux blocks. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 6 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). -Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). -Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 2 unused wires. - - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Rerunning OPT passes. (Maybe there is more to do..) - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.19. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `memory_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' - -End of script. Logfile hash: d4215140a5, CPU: user 0.03s system 0.01s, MEM: 9.50 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 90% 1x show (0 sec), 2% 5x opt_expr (0 sec), ... -../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' -- - --- Executing script file `memory_02.ys' -- - -1. Executing Verilog-2005 frontend: memory_02.v -Parsing Verilog input from `memory_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. -Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 8 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$memory_02.v:24$19'. -Creating decoders for process `\test.$proc$memory_02.v:21$17'. -Creating decoders for process `\test.$proc$memory_02.v:17$10'. - 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 - 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 - 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 -Creating decoders for process `\test.$proc$memory_02.v:13$3'. - 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 - 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 - 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. - created $dff cell `$procdff$39' with positive edge clock. -Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. - created $dff cell `$procdff$40' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$41' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$42' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. - created $dff cell `$procdff$43' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$44' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$45' with positive edge clock. -Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. - created $dff cell `$procdff$46' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$memory_02.v:24$19'. -Removing empty process `test.$proc$memory_02.v:21$17'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. -Removing empty process `test.$proc$memory_02.v:17$10'. -Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. -Removing empty process `test.$proc$memory_02.v:13$3'. -Cleaned up 2 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. -Removed 6 unused cells and 26 unused wires. - -4. Executing MEMORY pass. - -4.1. Executing OPT_MEM pass (optimize memories). -Performed a total of 0 transformations. - -4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). -Performed a total of 0 transformations. - -4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). - Analyzing test.memory write port 0. - Analyzing test.memory write port 1. - -4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). - -4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). -Checking read port `\memory'[0] in module `\test': merging output FF to cell. -Checking read port `\memory'[1] in module `\test': merging output FF to cell. - -4.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 2 unused cells and 18 unused wires. - - -4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). -Consolidating read ports of memory test.memory by address: -Consolidating write ports of memory test.memory by address: -Consolidating write ports of memory test.memory using sat-based resource sharing: - -4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). -Performed a total of 0 transformations. - -4.9. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.10. Executing MEMORY_COLLECT pass (generating $mem cells). - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. - Consolidated identical input bits for $mux cell $procmux$31: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] - New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } - Consolidated identical input bits for $mux cell $procmux$22: - Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 - New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] - New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } - Optimizing cells in module \test. -Performed a total of 2 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 4 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 2 unused wires. - - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Rerunning OPT passes. (Maybe there is more to do..) - -5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.19. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.20. Executing OPT_DFF pass (perform DFF optimizations). - -5.21. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -5.22. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.23. Finished OPT passes. (There is nothing left to do.) - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `memory_02.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' - -End of script. Logfile hash: 766b5cd017, CPU: user 0.03s system 0.00s, MEM: 9.63 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 89% 1x show (0 sec), 2% 5x opt_expr (0 sec), ... -../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' -- - --- Executing script file `techmap_01.ys' -- - -1. Executing Verilog-2005 frontend: techmap_01.v -Parsing Verilog input from `techmap_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: techmap_01_map.v -Parsing Verilog input from `techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. -No more expansions possible. - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `techmap_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' - -End of script. Logfile hash: b9ee13b07b, CPU: user 0.00s system 0.01s, MEM: 9.31 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x techmap (0 sec), ... -../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' -- - --- Executing script file `abc_01.ys' -- - -1. Executing Verilog-2005 frontend: abc_01.v -Parsing Verilog input from `abc_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: abc_01_cells.v -Parsing Verilog input from `abc_01_cells.v' to AST representation. -Generating RTLIL representation for module `\BUF'. -Generating RTLIL representation for module `\NOT'. -Generating RTLIL representation for module `\NAND'. -Generating RTLIL representation for module `\NOR'. -Generating RTLIL representation for module `\DFF'. -Generating RTLIL representation for module `\DFFSR'. -Successfully finished Verilog frontend. - -3. Executing HIERARCHY pass (managing design hierarchy). - -3.1. Analyzing design hierarchy.. -Top module: \test - -3.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 3 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$abc_01.v:5$1'. - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'. - created $dff cell `$procdff$3' with positive edge clock. -Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'. - created $dff cell `$procdff$4' with positive edge clock. -Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'. - created $dff cell `$procdff$5' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$abc_01.v:5$1'. -Cleaned up 0 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5. Executing OPT pass (performing simple optimizations). - -5.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.6. Executing OPT_DFF pass (perform DFF optimizations). - -5.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 0 unused cells and 3 unused wires. - - -5.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.9. Rerunning OPT passes. (Maybe there is more to do..) - -5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -5.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -5.13. Executing OPT_DFF pass (perform DFF optimizations). - -5.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -5.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -5.16. Finished OPT passes. (There is nothing left to do.) - -6. Executing TECHMAP pass (map to technology primitives). - -6.1. Executing Verilog-2005 frontend: /build/reproducible-path/yosys-0.33/share/techmap.v -Parsing Verilog input from `/build/reproducible-path/yosys-0.33/share/techmap.v' to AST representation. -Generating RTLIL representation for module `\_90_simplemap_bool_ops'. -Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. -Generating RTLIL representation for module `\_90_simplemap_logic_ops'. -Generating RTLIL representation for module `\_90_simplemap_compare_ops'. -Generating RTLIL representation for module `\_90_simplemap_various'. -Generating RTLIL representation for module `\_90_simplemap_registers'. -Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. -Generating RTLIL representation for module `\_90_shift_shiftx'. -Generating RTLIL representation for module `\_90_fa'. -Generating RTLIL representation for module `\_90_lcu'. -Generating RTLIL representation for module `\_90_alu'. -Generating RTLIL representation for module `\_90_macc'. -Generating RTLIL representation for module `\_90_alumacc'. -Generating RTLIL representation for module `\$__div_mod_u'. -Generating RTLIL representation for module `\$__div_mod_trunc'. -Generating RTLIL representation for module `\_90_div'. -Generating RTLIL representation for module `\_90_mod'. -Generating RTLIL representation for module `\$__div_mod_floor'. -Generating RTLIL representation for module `\_90_divfloor'. -Generating RTLIL representation for module `\_90_modfloor'. -Generating RTLIL representation for module `\_90_pow'. -Generating RTLIL representation for module `\_90_pmux'. -Generating RTLIL representation for module `\_90_demux'. -Generating RTLIL representation for module `\_90_lut'. -Successfully finished Verilog frontend. - -6.2. Continuing TECHMAP pass. -Using extmapper simplemap for cells of type $reduce_xor. -Using extmapper simplemap for cells of type $dff. -No more expansions possible. - - -7. Executing ABC pass (technology mapping using ABC). - -7.1. Summary of detected clock domains: - 9 cells in clk=\clk, en={ }, arst={ }, srst={ } - -7.2. Extracting gate netlist of module `\test' to `/input.blif'.. -Found matching posedge clock domain: \clk -Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs. - -7.2.1. Executing ABC. -Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 -ABC: ABC command line: "source /abc.script". -ABC: -ABC: + read_blif /input.blif -ABC: + read_lib -w /manual/PRESENTATION_ExSyn/abc_01_cells.lib -ABC: Parsing finished successfully. Parsing time = 0.00 sec -ABC: Warning: Templates are not defined. -ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". -ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". -ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR". -ABC: Library "demo" from "/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec -ABC: Memory = 0.00 MB. Time = 0.00 sec -ABC: + strash -ABC: + &get -n -ABC: Warning: 7 registers in this network have don't-care init values. -ABC: The don't-care are assumed to be 0. The result may not verify. -ABC: Use command "print_latch" to see the init values of registers. -ABC: Use command "zero" to convert or "init" to change the values. -ABC: + &fraig -x -ABC: + &put -ABC: + scorr -ABC: + dc2 -ABC: + dretime -ABC: + strash -ABC: + &get -n -ABC: + &dch -f -ABC: + &nf -ABC: + &put -ABC: + write_blif /output.blif - -7.2.2. Re-integrating ABC results. -ABC RESULTS: _dff_ cells: 3 -ABC RESULTS: NOT cells: 3 -ABC RESULTS: NOR cells: 2 -ABC RESULTS: NAND cells: 5 -ABC RESULTS: BUF cells: 3 -ABC RESULTS: internal signals: 8 -ABC RESULTS: input signals: 3 -ABC RESULTS: output signals: 1 -Removing temp directory. -Removed 0 unused cells and 9 unused wires. - -8. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `abc_01.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' - -End of script. Logfile hash: d3a3427a91, CPU: user 0.03s system 0.01s, MEM: 11.41 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 77% 1x show (0 sec), 13% 1x abc (0 sec), ... -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExSyn' -+ make -C PRESENTATION_ExAdv -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExAdv' -../../yosys select.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `select.ys' -- - -1. Executing Verilog-2005 frontend: select.v -Parsing Verilog input from `select.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 2 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\test.$proc$select.v:7$1'. - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$8' with positive edge clock. -Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. - created $dff cell `$procdff$9' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `test.$proc$select.v:7$1'. -Cleaned up 0 empty switches. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - - -4. Executing OPT pass (performing simple optimizations). - -4.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. - -Removed a total of 1 cells. - -4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.6. Executing OPT_DFF pass (perform DFF optimizations). - -4.7. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. -Removed 1 unused cells and 7 unused wires. - - -4.8. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.9. Rerunning OPT passes. (Maybe there is more to do..) - -4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \test.. - Creating internal representation of mux trees. - Evaluating internal representation of mux trees. - Analyzing evaluation results. -Removed 0 multiplexer ports. - - -4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \test. -Performed a total of 0 changes. - -4.12. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\test'. -Removed a total of 0 cells. - -4.13. Executing OPT_DFF pass (perform DFF optimizations). - -4.14. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \test.. - -4.15. Executing OPT_EXPR pass (perform const folding). -Optimizing module test. - -4.16. Finished OPT passes. (There is nothing left to do.) - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `select.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' - -End of script. Logfile hash: 75f2ae3a3a, CPU: user 0.02s system 0.00s, MEM: 9.33 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 93% 1x show (0 sec), 2% 4x opt_expr (0 sec), ... -../../yosys red_or3x1_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `red_or3x1_test.ys' -- - -1. Executing Verilog-2005 frontend: red_or3x1_test.v -Parsing Verilog input from `red_or3x1_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: red_or3x1_map.v -Parsing Verilog input from `red_or3x1_map.v' to AST representation. -Generating RTLIL representation for module `\$reduce_or'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. -Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. -Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. -No more expansions possible. - -Removed 0 unused cells and 18 unused wires. - -4. Executing SPLITNETS pass (splitting up multi-bit signals). - -5. Generating Graphviz representation of design. - -5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v -Parsing Verilog input from `red_or3x1_cells.v' to AST representation. -Generating RTLIL representation for module `\OR3X1'. -Successfully finished Verilog frontend. - -5.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `red_or3x1.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' - -End of script. Logfile hash: 129023a082, CPU: user 0.01s system 0.00s, MEM: 9.33 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 97% 1x show (0 sec), 1% 1x techmap (0 sec), ... -../../yosys sym_mul_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `sym_mul_test.ys' -- - -1. Executing Verilog-2005 frontend: sym_mul_test.v -Parsing Verilog input from `sym_mul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -No more expansions possible. - -Removed 0 unused cells and 6 unused wires. - -4. Generating Graphviz representation of design. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `sym_mul.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' - -End of script. Logfile hash: 772afb568b, CPU: user 0.00s system 0.01s, MEM: 9.07 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys mymul_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `mymul_test.ys' -- - -1. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mymul_map.v -Parsing Verilog input from `mymul_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. -Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. -No more expansions possible. - -Removed 0 unused cells and 10 unused wires. -Renaming module \test to \test_mapped. - -4. Executing Verilog-2005 frontend: mymul_test.v -Parsing Verilog input from `mymul_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -5. Executing MITER pass (creating miter circuit). -Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". - -6. Executing FLATTEN pass (flatten design). - - -7. Executing SAT pass (solving SAT problems in the circuit). - -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 7 cells to SAT database. -Import proof-constraint: \trigger = 1'0 -Final proof equation: \trigger = 1'0 - -Solving problem with 107 variables and 283 clauses.. -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ - -8. Executing SPLITNETS pass (splitting up multi-bit signals). - -9. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `mymul.dot'. -Dumping module test_mapped to page 1. -Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' - -End of script. Logfile hash: b32a1d8e8e, CPU: user 0.02s system 0.00s, MEM: 10.16 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 94% 1x show (0 sec), 1% 2x clean (0 sec), ... -../../yosys mulshift_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `mulshift_test.ys' -- - -1. Executing Verilog-2005 frontend: mulshift_test.v -Parsing Verilog input from `mulshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: sym_mul_map.v -Parsing Verilog input from `sym_mul_map.v' to AST representation. -Generating RTLIL representation for module `\$mul'. -Successfully finished Verilog frontend. - -3.2. Executing Verilog-2005 frontend: mulshift_map.v -Parsing Verilog input from `mulshift_map.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -3.3. Continuing TECHMAP pass. -Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. -Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. -No more expansions possible. - -Removed 0 unused cells and 16 unused wires. - -4. Generating Graphviz representation of design. - -4.1. Executing Verilog-2005 frontend: sym_mul_cells.v -Parsing Verilog input from `sym_mul_cells.v' to AST representation. -Generating RTLIL representation for module `\MYMUL'. -Successfully finished Verilog frontend. - -4.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `mulshift.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' - -End of script. Logfile hash: e867b57f97, CPU: user 0.02s system 0.01s, MEM: 9.83 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 93% 1x show (0 sec), 2% 3x clean (0 sec), ... -../../yosys addshift_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `addshift_test.ys' -- - -1. Executing Verilog-2005 frontend: addshift_test.v -Parsing Verilog input from `addshift_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: addshift_map.v -Parsing Verilog input from `addshift_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. -No more expansions possible. - -Removed 0 unused cells and 7 unused wires. - -4. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `addshift.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' - -End of script. Logfile hash: d1e94967df, CPU: user 0.01s system 0.00s, MEM: 9.31 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x show (0 sec), 0% 1x clean (0 sec), ... -../../yosys macc_simple_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `macc_simple_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_simple_test.v -Parsing Verilog input from `macc_simple_test.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -3. Generating Graphviz representation of design. - -3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -3.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_00a.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf' - -4. Executing EXTRACT pass (map subcircuits to cells). - -4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -4.2. Executing PROC pass (convert processes to netlists). - -4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -4.2.4. Executing PROC_INIT pass (extract init attributes). - -4.2.5. Executing PROC_ARST pass (detect async resets in processes). - -4.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. - -4.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - - -4.4. Creating graphs for SubCircuit library. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -4.5. Running solver from SubCircuit library. -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. - -4.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$8 -Removed 0 unused cells and 1 unused wires. - -5. Generating Graphviz representation of design. - -5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -5.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_00b.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf' - -6. Executing Verilog-2005 frontend: macc_simple_test_01.v -Parsing Verilog input from `macc_simple_test_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -7. Executing HIERARCHY pass (managing design hierarchy). - -7.1. Analyzing design hierarchy.. -Top module: \test - -7.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -8. Generating Graphviz representation of design. - -8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -8.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_01a.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf' - -9. Executing EXTRACT pass (map subcircuits to cells). - -9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -9.2. Executing PROC pass (convert processes to netlists). - -9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -9.2.4. Executing PROC_INIT pass (extract init attributes). - -9.2.5. Executing PROC_ARST pass (detect async resets in processes). - -9.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -9.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. - -9.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - - -9.4. Creating graphs for SubCircuit library. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -9.5. Running solver from SubCircuit library. -Solving for needle_macc_16_16_32 in haystack_test. -Found 1 matches. - -9.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$19 -Removed 0 unused cells and 1 unused wires. - -10. Generating Graphviz representation of design. - -10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -10.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_01b.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf' - -11. Executing Verilog-2005 frontend: macc_simple_test_02.v -Parsing Verilog input from `macc_simple_test_02.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -12. Executing HIERARCHY pass (managing design hierarchy). - -12.1. Analyzing design hierarchy.. -Top module: \test - -12.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -13. Generating Graphviz representation of design. - -13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -13.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_02a.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf' - -14. Executing EXTRACT pass (map subcircuits to cells). - -14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -14.2. Executing PROC pass (convert processes to netlists). - -14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -14.2.4. Executing PROC_INIT pass (extract init attributes). - -14.2.5. Executing PROC_ARST pass (detect async resets in processes). - -14.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -14.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module macc_16_16_32. - -14.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \macc_16_16_32.. -Removed 0 unused cells and 1 unused wires. - - -14.4. Creating graphs for SubCircuit library. -Creating needle graph needle_macc_16_16_32. -Creating haystack graph haystack_test. - -14.5. Running solver from SubCircuit library. -Solving for needle_macc_16_16_32 in haystack_test. -Found 2 matches. - -14.6. Substitute SubCircuits with cells. - -Match #0: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$30 - -Match #1: (needle_macc_16_16_32 in haystack_test) - $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y - $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y - new cell: $extract$\macc_16_16_32$31 -Removed 0 unused cells and 2 unused wires. - -15. Generating Graphviz representation of design. - -15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -15.2. Continuing show pass. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_test_02b.dot'. -Dumping module test to page 1. -Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf' - -16. Executing Verilog-2005 frontend: macc_simple_xmap.v -Parsing Verilog input from `macc_simple_xmap.v' to AST representation. -Generating RTLIL representation for module `\macc_16_16_32'. -Successfully finished Verilog frontend. - -17. Executing HIERARCHY pass (managing design hierarchy). - -17.1. Analyzing design hierarchy.. -Top module: \macc_16_16_32 - -17.2. Analyzing design hierarchy.. -Top module: \macc_16_16_32 -Removed 0 unused modules. -Removed 0 unused cells and 1 unused wires. - -18. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_simple_xmap.dot'. -Dumping module macc_16_16_32 to page 1. -Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' - -End of script. Logfile hash: 4903010725, CPU: user 0.05s system 0.00s, MEM: 9.48 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 7x show (1 sec), 0% 7x clean (0 sec), ... -../../yosys macc_xilinx_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `macc_xilinx_test.ys' -- - -1. Executing Verilog-2005 frontend: macc_xilinx_test.v -Parsing Verilog input from `macc_xilinx_test.v' to AST representation. -Generating RTLIL representation for module `\test1'. -Generating RTLIL representation for module `\test2'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `$__mul_wrapper'. -Generating RTLIL representation for module `$__add_wrapper'. -Successfully finished Verilog frontend. - -3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -4. Executing HIERARCHY pass (managing design hierarchy). -Removed 0 unused cells and 2 unused wires. - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1a.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf' - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2a.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf' - -7. Executing TECHMAP pass (map to technology primitives). - -7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. - -7.2. Continuing TECHMAP pass. -Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. -No more expansions possible. - -Removed 0 unused cells and 8 unused wires. - -8. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1b.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf' - -9. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2b.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf' - -10. Executing TECHMAP pass (map to technology primitives). - -10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. - -10.2. Continuing TECHMAP pass. -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. -Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. -No more expansions possible. - - -11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } -Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } -Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } -Removed 0 unused cells and 56 unused wires. - -12. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1c.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf' - -13. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2c.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf' - -14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v -Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. -Generating RTLIL representation for module `\DSP48_MACC'. -Successfully finished Verilog frontend. - -15. Executing TECHMAP pass (map to technology primitives). - -15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v -Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_swap_ports'. -Successfully finished Verilog frontend. - -15.2. Continuing TECHMAP pass. -No more expansions possible. - - -16. Executing TECHMAP pass (map to technology primitives). - -16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v -Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. -Generating RTLIL representation for module `\mul_wrap'. -Generating RTLIL representation for module `\add_wrap'. -Successfully finished Verilog frontend. - -16.2. Continuing TECHMAP pass. -Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. -Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. -No more expansions possible. - -Removed 0 unused cells and 17 unused wires. - -17. Executing EXTRACT pass (map subcircuits to cells). - -17.1. Creating graphs for SubCircuit library. -Creating needle graph needle_DSP48_MACC. -Creating haystack graph haystack_$__add_wrapper. -Creating haystack graph haystack_$__mul_wrapper. -Creating haystack graph haystack_DSP48_MACC. -Creating haystack graph haystack_test1. -Creating haystack graph haystack_test2. - -17.2. Running solver from SubCircuit library. -Solving for needle_DSP48_MACC in haystack_$__add_wrapper. -Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. -Solving for needle_DSP48_MACC in haystack_DSP48_MACC. -Solving for needle_DSP48_MACC in haystack_test1. -Solving for needle_DSP48_MACC in haystack_test2. -Found 3 matches. - -17.3. Substitute SubCircuits with cells. - -Match #0: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$35 - -Match #1: (needle_DSP48_MACC in haystack_test1) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$36 - -Match #2: (needle_DSP48_MACC in haystack_test2) - $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y - $const$0 -> $const$0 \Y:\Y - $const$1 -> $const$1 \Y:\Y - $const$x -> $const$x \Y:\Y - $const$z -> $const$z \Y:\Y - $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y - new cell: $extract$\DSP48_MACC$37 -Removed 0 unused cells and 6 unused wires. - -18. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1d.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf' - -19. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2d.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf' - -20. Executing TECHMAP pass (map to technology primitives). - -20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v -Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. -Generating RTLIL representation for module `\$__mul_wrapper'. -Generating RTLIL representation for module `\$__add_wrapper'. -Successfully finished Verilog frontend. - -20.2. Continuing TECHMAP pass. -Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. -Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. -No more expansions possible. - -Removed 0 unused cells and 14 unused wires. - -21. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test1e.dot'. -Dumping module test1 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf' - -22. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_test2e.dot'. -Dumping module test2 to page 1. -Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf' - -23. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `macc_xilinx_xmap.dot'. -Dumping module DSP48_MACC to page 1. -Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' - -End of script. Logfile hash: ff7d5c04b4, CPU: user 0.11s system 0.01s, MEM: 10.56 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 96% 11x show (2 sec), 1% 12x clean (0 sec), ... -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExAdv' -+ make -C PRESENTATION_ExOth -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExOth' -../../yosys scrambler.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `scrambler.ys' -- - -1. Executing Verilog-2005 frontend: scrambler.v -Parsing Verilog input from `scrambler.v' to AST representation. -Generating RTLIL representation for module `\scrambler'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -3. Executing PROC pass (convert processes to netlists). - -3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. -Removed a total of 0 dead cases. - -3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 2 assignments to connections. - -3.4. Executing PROC_INIT pass (extract init attributes). - -3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. - 1/1: $1\xs[31:0] - -3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$12' with positive edge clock. -Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. - created $dff cell `$procdff$13' with positive edge clock. - -3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. -Removing empty process `scrambler.$proc$scrambler.v:6$1'. -Cleaned up 1 empty switch. - -3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module scrambler. - -Removed 0 unused cells and 5 unused wires. - -4. Executing SUBMOD pass (moving cells to submodules as requested). - -4.1. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \scrambler.. - -4.2. Continuing SUBMOD pass. -Creating submodule xorshift32 (\xorshift32) of module \scrambler. - signal $1\xs[31:0]: input \n1 - signal $0\xs[31:0]: output \n2 - signal $xor$scrambler.v:9$3_Y: internal - signal $shl$scrambler.v:11$6_Y: internal - signal $shr$scrambler.v:10$4_Y: internal - signal $xor$scrambler.v:10$5_Y: internal - cell $xor$scrambler.v:9$3 ($xor) - cell $xor$scrambler.v:11$7 ($xor) - cell $xor$scrambler.v:10$5 ($xor) - -5. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `scrambler_p01.dot'. -Dumping module scrambler to page 1. -Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf' - -6. Generating Graphviz representation of design. -Fontconfig error: No writable cache directories -Writing dot description to `scrambler_p02.dot'. -Dumping module xorshift32 to page 1. -Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf' -echo on - -yosys> cd xorshift32 - -yosys [xorshift32]> rename n2 in -Renaming wire n2 to in in module xorshift32. - -yosys [xorshift32]> rename n1 out -Renaming wire n1 to out in module xorshift32. - -yosys [xorshift32]> eval -set in 1 -show out - -7. Executing EVAL pass (evaluate the circuit given an input). -Failed to evaluate signal \out: Missing value for \out. - -yosys [xorshift32]> eval -set in 270369 -show out - -8. Executing EVAL pass (evaluate the circuit given an input). -Failed to evaluate signal \out: Missing value for \out. - -yosys [xorshift32]> sat -set out 632435482 - -9. Executing SAT pass (solving SAT problems in the circuit). - -Setting up SAT problem: -Import set-constraint: \out = 632435482 -Final constraint equation: \out = 632435482 -Imported 3 cells to SAT database. - -Solving problem with 665 variables and 1735 clauses.. -SAT solving finished - model found: - - Signal Name Dec Hex Bin - --------------- ----------- --------- ----------------------------------- - \out 632435482 25b2331a 00100101101100100011001100011010 - -End of script. Logfile hash: 9a538da369, CPU: user 0.02s system 0.00s, MEM: 9.97 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 96% 2x show (0 sec), 0% 1x sat (0 sec), ... -../../yosys -l equiv.log_new equiv.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `equiv.ys' -- - -1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v -Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation. -Generating RTLIL representation for module `\test'. -Successfully finished Verilog frontend. - -2. Executing HIERARCHY pass (managing design hierarchy). - -2.1. Analyzing design hierarchy.. -Top module: \test - -2.2. Analyzing design hierarchy.. -Top module: \test -Removed 0 unused modules. -Renaming module \test to \test_mapped. - -3. Executing TECHMAP pass (map to technology primitives). - -3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v -Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation. -Generating RTLIL representation for module `\$add'. -Successfully finished Verilog frontend. - -3.2. Continuing TECHMAP pass. -Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. -No more expansions possible. - - -4. Executing MITER pass (creating miter circuit). -Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped". - -5. Executing FLATTEN pass (flatten design). - - -6. Executing SAT pass (solving SAT problems in the circuit). - -Setting up SAT problem: -Final constraint equation: { } = { } -Imported 7 cells to SAT database. -Import proof for assert: $auto$miter.cc:242:create_miter_equiv$6 when 1'1. -Import show expression: \in_b -Import show expression: \in_a -Import show expression: \trigger -Import show expression: \gate_y -Import show expression: \gold_y - -Solving problem with 945 variables and 2505 clauses.. -SAT proof finished - no model found: SUCCESS! - - /$$$$$$ /$$$$$$$$ /$$$$$$$ - /$$__ $$ | $$_____/ | $$__ $$ - | $$ \ $$ | $$ | $$ \ $$ - | $$ | $$ | $$$$$ | $$ | $$ - | $$ | $$ | $$__/ | $$ | $$ - | $$/$$ $$ | $$ | $$ | $$ - | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ - \____ $$$|__/|________/|__/|_______/|__/ - \__/ - -End of script. Logfile hash: 5b80c95f52, CPU: user 0.02s system 0.00s, MEM: 10.09 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 78% 1x sat (0 sec), 12% 1x techmap (0 sec), ... -mv equiv.log_new equiv.log -../../yosys -l axis_test.log_new axis_test.ys - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Executing script file `axis_test.ys' -- - -1. Executing Verilog-2005 frontend: axis_master.v -Parsing SystemVerilog input from `axis_master.v' to AST representation. -Generating RTLIL representation for module `\axis_master'. -Successfully finished Verilog frontend. - -2. Executing Verilog-2005 frontend: axis_test.v -Parsing SystemVerilog input from `axis_test.v' to AST representation. -Generating RTLIL representation for module `\axis_test'. -Successfully finished Verilog frontend. - -3. Executing HIERARCHY pass (managing design hierarchy). - -3.1. Analyzing design hierarchy.. -Top module: \axis_test -Used module: \axis_master - -3.2. Analyzing design hierarchy.. -Top module: \axis_test -Used module: \axis_master -Removed 0 unused modules. -Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute. -Mapping positional arguments of cell axis_test.uut (axis_master). - -4. Executing PROC pass (convert processes to netlists). - -4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master. -Removed a total of 0 dead cases. - -4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 14 assignments to connections. - -4.4. Executing PROC_INIT pass (extract init attributes). -Found init rule in `\axis_test.$proc$axis_test.v:0$98'. - Set init value: $formal$axis_test.v:22$23_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$96'. - Set init value: $formal$axis_test.v:21$22_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$94'. - Set init value: $formal$axis_test.v:20$21_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$92'. - Set init value: $formal$axis_test.v:19$20_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$90'. - Set init value: $formal$axis_test.v:18$19_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$88'. - Set init value: $formal$axis_test.v:17$18_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$86'. - Set init value: $formal$axis_test.v:16$17_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$84'. - Set init value: $formal$axis_test.v:15$16_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$82'. - Set init value: $formal$axis_test.v:14$15_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:0$80'. - Set init value: $formal$axis_test.v:13$14_EN = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:7$79'. - Set init value: \aresetn = 1'0 -Found init rule in `\axis_test.$proc$axis_test.v:6$78'. - Set init value: \counter = 0 - -4.5. Executing PROC_ARST pass (detect async resets in processes). - -4.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\axis_test.$proc$axis_test.v:0$98'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$96'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$94'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$92'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$90'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$88'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$86'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$84'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$82'. -Creating decoders for process `\axis_test.$proc$axis_test.v:0$80'. -Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'. -Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'. -Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'. - 1/21: $0$formal$axis_test.v:13$14_EN[0:0]$26 - 2/21: $0$formal$axis_test.v:13$14_CHECK[0:0]$25 - 3/21: $0$formal$axis_test.v:14$15_EN[0:0]$28 - 4/21: $0$formal$axis_test.v:14$15_CHECK[0:0]$27 - 5/21: $0$formal$axis_test.v:15$16_EN[0:0]$30 - 6/21: $0$formal$axis_test.v:15$16_CHECK[0:0]$29 - 7/21: $0$formal$axis_test.v:16$17_EN[0:0]$32 - 8/21: $0$formal$axis_test.v:16$17_CHECK[0:0]$31 - 9/21: $0$formal$axis_test.v:17$18_EN[0:0]$34 - 10/21: $0$formal$axis_test.v:17$18_CHECK[0:0]$33 - 11/21: $0$formal$axis_test.v:18$19_EN[0:0]$36 - 12/21: $0$formal$axis_test.v:18$19_CHECK[0:0]$35 - 13/21: $0$formal$axis_test.v:19$20_EN[0:0]$38 - 14/21: $0$formal$axis_test.v:19$20_CHECK[0:0]$37 - 15/21: $0$formal$axis_test.v:20$21_EN[0:0]$40 - 16/21: $0$formal$axis_test.v:20$21_CHECK[0:0]$39 - 17/21: $0$formal$axis_test.v:21$22_EN[0:0]$42 - 18/21: $0$formal$axis_test.v:21$22_CHECK[0:0]$41 - 19/21: $0$formal$axis_test.v:22$23_EN[0:0]$44 - 20/21: $0$formal$axis_test.v:22$23_CHECK[0:0]$43 - 21/21: $0\counter[31:0] -Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'. - 1/4: $2\state[31:0] - 2/4: $1\state[31:0] - 3/4: $0\tdata[7:0] - 4/4: $0\tvalid[0:0] - -4.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -4.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$209' with positive edge clock. -Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$210' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$211' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$212' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$213' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$214' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$215' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$216' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$217' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$218' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$219' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$220' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$221' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$222' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$223' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$224' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$225' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$226' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$227' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$228' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$229' with positive edge clock. -Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'. - created $dff cell `$procdff$230' with positive edge clock. -Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'. - created $dff cell `$procdff$231' with positive edge clock. -Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'. - created $dff cell `$procdff$232' with positive edge clock. -Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'. - created $dff cell `$procdff$233' with positive edge clock. - -4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `axis_test.$proc$axis_test.v:0$98'. -Removing empty process `axis_test.$proc$axis_test.v:0$96'. -Removing empty process `axis_test.$proc$axis_test.v:0$94'. -Removing empty process `axis_test.$proc$axis_test.v:0$92'. -Removing empty process `axis_test.$proc$axis_test.v:0$90'. -Removing empty process `axis_test.$proc$axis_test.v:0$88'. -Removing empty process `axis_test.$proc$axis_test.v:0$86'. -Removing empty process `axis_test.$proc$axis_test.v:0$84'. -Removing empty process `axis_test.$proc$axis_test.v:0$82'. -Removing empty process `axis_test.$proc$axis_test.v:0$80'. -Removing empty process `axis_test.$proc$axis_test.v:7$79'. -Removing empty process `axis_test.$proc$axis_test.v:6$78'. -Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'. -Removing empty process `axis_test.$proc$axis_test.v:11$24'. -Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'. -Removing empty process `axis_master.$proc$axis_master.v:7$1'. -Cleaned up 15 empty switches. - -4.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module axis_test. - -Optimizing module axis_master. - - -5. Executing FLATTEN pass (flatten design). -Deleting now unused module axis_master. - -Removed 1 unused cells and 92 unused wires. - -6. Executing SAT pass (solving SAT problems in the circuit). - -Setting up time step 1: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0 -Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0 -Import set-constraint from init attribute: \aresetn = 1'0 -Import set-constraint from init attribute: \counter = 0 -Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000 -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 2: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 3: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 4: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 5: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 6: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 7: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 8: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 9: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 10: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 11: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 12: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 13: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 14: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 15: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 16: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 17: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 18: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 19: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 20: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 21: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 22: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 23: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 24: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 25: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 26: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 27: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 28: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 29: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 30: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 31: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 32: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 33: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 34: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 35: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 36: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 37: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 38: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 39: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 40: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 41: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 42: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 43: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 44: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 45: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 46: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 47: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 48: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 49: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Setting up time step 50: -Final constraint equation: { } = { } -Imported 117 cells to SAT database. -Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. -Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. -Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. -Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. -Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. -Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. -Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. -Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. -Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. -Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. - -Solving problem with 135995 variables and 381779 clauses.. -SAT proof finished - model found: FAIL! - - ______ ___ ___ _ _ _ _ - (_____ \ / __) / __) (_) | | | | - _____) )___ ___ ___ _| |__ _| |__ _____ _| | _____ __| | | - | ____/ ___) _ \ / _ (_ __) (_ __|____ | | || ___ |/ _ |_| - | | | | | |_| | |_| || | | | / ___ | | || ____( (_| |_ - |_| |_| \___/ \___/ |_| |_| \_____|_|\_)_____)\____|_| - - - Time Signal Name Dec Hex Bin - ---- ------------------------------------ ----------- --------- ----------------------------------- - init $formal$axis_test.v:13$14_CHECK 0 0 0 - init $formal$axis_test.v:13$14_EN 0 0 0 - init $formal$axis_test.v:14$15_CHECK 0 0 0 - init $formal$axis_test.v:14$15_EN 0 0 0 - init $formal$axis_test.v:15$16_CHECK 0 0 0 - init $formal$axis_test.v:15$16_EN 0 0 0 - init $formal$axis_test.v:16$17_CHECK 0 0 0 - init $formal$axis_test.v:16$17_EN 0 0 0 - init $formal$axis_test.v:17$18_CHECK 0 0 0 - init $formal$axis_test.v:17$18_EN 0 0 0 - init $formal$axis_test.v:18$19_CHECK 0 0 0 - init $formal$axis_test.v:18$19_EN 0 0 0 - init $formal$axis_test.v:19$20_CHECK 0 0 0 - init $formal$axis_test.v:19$20_EN 0 0 0 - init $formal$axis_test.v:20$21_CHECK 0 0 0 - init $formal$axis_test.v:20$21_EN 0 0 0 - init $formal$axis_test.v:21$22_CHECK 0 0 0 - init $formal$axis_test.v:21$22_EN 0 0 0 - init $formal$axis_test.v:22$23_CHECK 0 0 0 - init $formal$axis_test.v:22$23_EN 0 0 0 - init \aresetn 0 0 0 - init \counter 0 0 00000000000000000000000000000000 - init \uut.state 0 0 00000000000000000000000000000000 - init \uut.tdata 64 40 01000000 - init \uut.tvalid 1 1 1 - -End of script. Logfile hash: 47aa44b032, CPU: user 1.37s system 0.04s, MEM: 90.32 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 98% 1x sat (1 sec), 0% 3x read_verilog (0 sec), ... -mv axis_test.log_new axis_test.log -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_ExOth' -+ make -C PRESENTATION_Prog -make[2]: Entering directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Prog' -../../yosys-config --exec --cxx -g -O2 -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs -../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v - --- Parsing `absval_ref.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: absval_ref.v -Parsing Verilog input from `absval_ref.v' to AST representation. -Storing AST representation for module `$abstract\absval_ref'. -Successfully finished Verilog frontend. - --- Running command `my_cmd foo bar' -- -Arguments to my_cmd: - my_cmd - foo - bar -Modules in current design: - $abstract\absval_ref (0 wires, 0 cells) - -End of script. Logfile hash: a25069ff9d, CPU: user 0.00s system 0.00s, MEM: 7.07 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 94% 2x read_verilog (0 sec), 3% 1x read (0 sec), ... -mv test0.log_new test0.log -../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v - --- Parsing `absval_ref.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: absval_ref.v -Parsing Verilog input from `absval_ref.v' to AST representation. -Storing AST representation for module `$abstract\absval_ref'. -Successfully finished Verilog frontend. - --- Running command `clean; test1; dump' -- -Name of this module: absval - -autoidx 4 - -module \absval - - wire width 4 $auto$my_cmd.cc:41:execute$1 - - wire width 4 output 2 \y - - wire width 4 input 1 \a - - cell $mux $auto$my_cmd.cc:43:execute$3 - parameter \WIDTH 4 - connect \Y \y - connect \S \a [3] - connect \B $auto$my_cmd.cc:41:execute$1 - connect \A \a - end - - cell $neg $auto$my_cmd.cc:42:execute$2 - parameter \Y_WIDTH 4 - parameter \A_WIDTH 4 - parameter \A_SIGNED 1 - connect \Y $auto$my_cmd.cc:41:execute$1 - connect \A \a - end -end - -attribute \cells_not_processed 1 -attribute \src "absval_ref.v:1.1-3.10" -module $abstract\absval_ref -end - -End of script. Logfile hash: 01cda1039c, CPU: user 0.01s system 0.00s, MEM: 7.25 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 70% 1x clean (0 sec), 23% 2x read_verilog (0 sec), ... -mv test1.log_new test1.log -../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' sigmap_test.v - --- Parsing `sigmap_test.v' using frontend ` -vlog2k' -- - -1. Executing Verilog-2005 frontend: sigmap_test.v -Parsing Verilog input from `sigmap_test.v' to AST representation. -Storing AST representation for module `$abstract\test'. -Successfully finished Verilog frontend. - --- Running command `hierarchy -top test; test2' -- - -2. Executing HIERARCHY pass (managing design hierarchy). - -3. Executing AST frontend in derive mode using pre-parsed AST for module `\test'. -Generating RTLIL representation for module `\test'. - -3.1. Analyzing design hierarchy.. -Top module: \test - -3.2. Analyzing design hierarchy.. -Top module: \test -Removing unused module `$abstract\test'. -Removed 1 unused modules. -0 0 0 -1 1 1 -Mapped signal x: \a - -4. Doing important stuff! -Log message #0. -Log message #1. -Log message #2. -Log message #3. -Log message #4. -Log message #5. -Log message #6. -Log message #7. -Log message #8. -Log message #9. - -End of script. Logfile hash: 8350de3c5a, CPU: user 0.00s system 0.00s, MEM: 7.15 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 44% 2x read_verilog (0 sec), 43% 1x hierarchy (0 sec), ... -mv test2.log_new test2.log -make[2]: Leaving directory '/build/reproducible-path/yosys-0.33/manual/PRESENTATION_Prog' -+ set -ex -+ pdflatex -shell-escape -halt-on-error presentation.tex -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - \write18 enabled. -entering extended mode -(./presentation.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls -Document Class: beamer 2024/01/06 v3.71 A class for typesetting presentations -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex))) +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.stymake[3]: *** [Makefile:35: basics_flow.pdf] Error 1 +make[3]: *** Waiting for unfinished jobs.... +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex +(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet -ric.code.tex) +ric.code.tex))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison -.code.tex) +.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. -tex) +tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. @@ -21163,164 +13189,118 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex + +pdfTeX warning: pdflatex (file ./example_00.pdf): PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed + + +pdfTeX warning: pdflatex (file ./example_01.pdf): )) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te -x) +x)PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed + + +pdfTeX warning: pdflatex (file ./example_02.pdf): (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. -code.tex) +code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) +.texPDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed +[1 <./example_00.pdf> <./example_01.pdf + +pdfTeX warning: pdflatex (file ./example_01.pdf): PDF inclusion: multiple pdfs +with page group included in a single page +>) <./example_02.pdf + +pdfTeX warning: pdflatex (file ./example_02.pdf): (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +xPDF inclusion: multiple pdfs +with page group included in a single page +>] +! I can't find file `example_out.aux'. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.18 \end{document} + +(Press Enter to retry, or Control-D to exit) +Please type another input file name: +! Emergency stop. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.18 \end{document} + +! ==> Fatal error occurred, no output PDF file produced! +Transcript written on example_out.log. +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c -ode.tex) +ode.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryplotmarks.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation -s.code.tex) +s.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplotmarks.co +de.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t -ex) +ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +.code.tex))make[3]: *** [Makefile:32: 011/example_out.pdf] Error 1 +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te -x) +x + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 11. + +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik +zlibraryshapes.geometric.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape +s.geometric.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex -) +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. -tex) +tex +No file basics_parsetree.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkiiThis is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) + restricted \write18 enabled. + +[Loading MPS to PDF converter (version 2006.09.02).] +)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. -tex) +tex +(/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) - -Package hyperref Message: Stopped early. - -) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigationsymbols.tex -)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) -(/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty -`inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) -(/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/units.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex -) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex + +No file verilog_flow.aux. +(/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii +[Loading MPS to PDF converter (version 2006.09.02).] +)) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex @@ -21329,1631 +13309,127 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryarrows.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryscopes.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarythrough.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) + +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. + +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -No file presentation.aux. -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex +No file submod_dots.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty -(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary --English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic -tionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict -ionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar -y-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona -ry-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona -ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -No file presentation.nav. - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 99--99 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 103--103 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en -c/dvips/lm/lm-ec.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 123--123 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[2] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[3] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 154--154 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[4] (./PRESENTATION_Intro.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[5] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 105--105 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[6] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[7] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[8] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[9] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[10] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[11] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[12] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 146--146 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[13] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/ot1lmss.fd) -(/usr/share/texmf/tex/latex/lm/omllmm.fd) -(/usr/share/texmf/tex/latex/lm/omslmsy.fd) -(/usr/share/texmf/tex/latex/lm/omxlmex.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[14{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 215--215 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[15] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 248--248 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[16] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 264--264 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[17] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 368--368 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[18] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[19] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[20] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[21] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[22] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[23] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[24] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[25] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[26] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[27] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[28] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[29] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[30] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[31] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 372--372 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 374--374 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) -(./PRESENTATION_Intro/counter.v -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd))) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[32{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 376--376 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_Intro/mycells.lib) -(./PRESENTATION_Intro/mycells.lib)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[33] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 389--389 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 397--397 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_00.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[34 <./PRESENTATION_Intro/counter_00.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 406--406 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[35 <./PRESENTATION_Intro/counter_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 408--408 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 415--415 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_02.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[36 <./PRESENTATION_Intro/counter_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 417--417 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_03.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[37 <./PRESENTATION_Intro/counter_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 432--432 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 468--468 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11 -[][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[38] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 474--474 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 500--500 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[39] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 502--502 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 529--529 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[40] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 531--531 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 559--559 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[41] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 565--565 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 586--586 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[42] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 588--588 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[43] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 641--641 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (1.47926pt too high) detected at line 641 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[44] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 654--654 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[45] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 674--674 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[46{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 676--676 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 696--696 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[47] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 715--715 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[48] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[49] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 749--749 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[50] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 766--766 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[51] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 782--782 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[52] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[53] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 823--823 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[54] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 841--841 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[55] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 862--862 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[56] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 884--884 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[57] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 907--907 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[58] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 930--930 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[59] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 955--955 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[60]) (./PRESENTATION_ExSyn.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[61] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 27--27 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[62] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 33--33 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[63] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 56--56 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 72--72 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[64] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 78--78 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 104--104 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[65] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 106--106 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 114--114 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v -Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2 -[][][][][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/proc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_01.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[66 <./PRESENTATION_ExSyn/proc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 116--116 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 125--125 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_02.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[67 <./PRESENTATION_ExSyn/proc_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 127--127 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 136--136 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_03.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[68 <./PRESENTATION_ExSyn/proc_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 142--142 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 166--166 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[69] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[70 <./PRESENTATION_ExSyn/opt_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 188--188 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_02.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[71 <./PRESENTATION_ExSyn/opt_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 190--190 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 199--199 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_03.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[72 <./PRESENTATION_ExSyn/opt_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 210--210 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_04.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_04.v -Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/opt_04.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[73 <./PRESENTATION_ExSyn/opt_04.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 236--236 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[74] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 242--242 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 268--268 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.12932pt too high) detected at line 268 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[75] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 270--270 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 279--279 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_01.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[76 <./PRESENTATION_ExSyn/memory_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 281--281 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 290--290 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_02.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys)) -Overfull \vbox (4.767pt too high) detected at line 290 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[77 <./PRESENTATION_ExSyn/memory_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 296--296 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 320--320 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[78] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[79] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 362--362 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/techmap_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 362 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 362 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[80 <./PRESENTATION_ExSyn/techmap_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[81] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 409--409 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[82] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 411--411 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 419--419 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v) -(./PRESENTATION_ExSyn/abc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/abc_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[83 <./PRESENTATION_ExSyn/abc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 442--442 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[84] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 448--448 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 489--489 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7 -[][][][][][][][][][][][][][][] - -Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29 -[][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31 -[][][][][][][][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[85] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 514--514 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[86]) (./PRESENTATION_ExAdv.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[87] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 16--16 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[88] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 25--25 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[89] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 29--29 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[90] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 54--54 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[91] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 69--69 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 92--92 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[92] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 96--96 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 111--111 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[93] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8 -[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ -][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[94] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 143--143 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 167--167 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[95] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 171--171 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[96] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 221--221 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[97] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 223--223 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 231--231 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/select.v) -(./PRESENTATION_ExAdv/select.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/select.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[98 <./PRESENTATION_ExAdv/select.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[99] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[100] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[101] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/red_or3x1_test.ys) -Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285 -[][][][][][][][][][][] -(./PRESENTATION_ExAdv/red_or3x1_test.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[103] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) -(./PRESENTATION_ExAdv/sym_mul_test.ys) -Overfull \vbox (2.16698pt too high) detected at line 321 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[104 <./PRESENTATION_ExAdv/sym_mul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[105] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion: -found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mymul_map.v -Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8 -[][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys) -(./PRESENTATION_ExAdv/mymul_test.ys) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[106 <./PRESENTATION_ExAdv/mymul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[107] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mulshift_map.v -Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21 -[][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[108 <./PRESENTATION_ExAdv/mulshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[109] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/addshift_map.v -Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19 -[][][][][][][][][] -) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[110 <./PRESENTATION_ExAdv/addshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[111] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[112] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[113] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[114] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/macc_simple_test.v) -(./PRESENTATION_ExAdv/macc_simple_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_00b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v) -Underfull \hbox (badness 10000) detected at line 3 -[]| -(./PRESENTATION_ExAdv/macc_simple_test_02.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_02a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[117] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[118] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v -Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21 -[][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \vbox (1.21974pt too high) detected at line 610 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[119] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) -Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6 -[][][][][][][][][][][][][][][][][] -(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[120] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[121] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[122] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[123] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| -(./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 5 -[]| - -Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11 -[][][][][][][][] - -Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12 -[][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg))}] +! I can't find file `overview_rtlil.aux'. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.27 \end{document} + +(Press Enter to retry, or Control-D to exit) +Please type another input file name: +! Emergency stop. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.27 \end{document} + +! ==> Fatal error occurred, no output PDF file produced! +Transcript written on overview_rtlil.log. +entering extended mode +(./select_prod.texmake[3]: *** [Makefile:35: overview_rtlil.pdf] Error 1 -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] +pdfTeX warning: pdflatex (file ./submod_00.pdf): +LaTeX2e <2023-11-01> patch level 1 +L3 programming layer <2024-01-22> +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.clsPDF inclusion: found PDF versi +on <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 809--809 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[131] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 827--827 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[132] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 831--831 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 872--872 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[133] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 895--895 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[134]) (./PRESENTATION_ExOth.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[135] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 14--14 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[136] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 23--23 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[137] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 43--43 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[138] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 45--45 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/scrambler.v) +pdfTeX warning: pdflatex (file ./submod_01.pdf): +Document Class: standalone 2022/10/10 v1.3b Class to compile TeX sub-files stan +dalone +(/usr/share/texlive/texmf-dist/tex/latex/tools/shellesc.sty) -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p01.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed +Package pgfplots Warning: running in backwards compatibility mode (unsuitable t +ick labels; missing features). Consider writing \pgfplotsset{compat=1.18} into +your preamble. + on input line 12. +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifluatex.styPDF inclusion: found PDF versi +on <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \vbox (12.54794pt too high) detected at line 65 -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p -02.pdf +pdfTeX warning: pdflatex (file ./submod_02.pdf): +(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))PDF inclusion: found PDF versi +on <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 67--67 - [][] \T1/lmss/m/n/6 ([]) -Overfull \hbox (5.77223pt too wide) in paragraph at lines 89--89 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[140] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 98--98 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[141] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[142] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 131--131 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 131 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 131 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[143] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 133--133 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 159--159 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.61974pt too high) detected at line 159 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[144] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 161--161 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/axis_master.v) -(./PRESENTATION_ExOth/axis_test.v)) -Overfull \vbox (5.41972pt too high) detected at line 177 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[145] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[146] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 226--226 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[147]) (./PRESENTATION_Prog.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[148] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 39--39 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[149] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 68--68 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[150] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 74--74 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[151] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 118--118 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[152] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 144--144 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[153] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[154] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[155] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 218--218 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[156] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 222--222 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 251--251 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[157] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 255--255 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 280--280 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[158] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 284--284 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 316--316 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[159] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 318--318 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 338--338 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[160] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 364--364 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[161] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 370--370 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.21974pt too high) detected at line 399 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[162] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 420--420 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[163] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 454--454 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[164] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 460--460 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 485--485 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[165] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 491--491 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 510--510 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[166] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 516--516 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 540--540 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[167] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 546--546 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 568--568 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[168] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 595--595 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux -) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) -(./PRESENTATION_Prog.aux)) - -Package rerunfilecheck Warning: File `presentation.out' has changed. -(rerunfilecheck) Rerun to get outlines right -(rerunfilecheck) or use package `bookmark'. - - ) -(see the transcript file for additional information) -Output written on presentation.pdf (169 pages, 888219 bytes). -Transcript written on presentation.log. -+ false -+ md5sum PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc -+ cmp autoloop.old autoloop.new -cmp: EOF on autoloop.old which is empty -+ cp autoloop.new autoloop.old -+ pdflatex -shell-escape -halt-on-error presentation.tex -This is pdfTeX, Version 3.141592653-2.6-1.40.25 (TeX Live 2023/Debian) (preloaded format=pdflatex) - \write18 enabled. -entering extended mode -(./presentation.tex -LaTeX2e <2023-11-01> patch level 1 -L3 programming layer <2024-01-22> -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls -Document Class: beamer 2024/01/06 v3.71 A class for typesetting presentations -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty -(/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) -(/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty -(/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +pdfTeX warning: pdflatex (file ./submod_03.pdf): +(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex +(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))PDF inclusion: found PDF versi +on <1.7>, but at most version <1.5> allowed +[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map +(/usr/share/texlive/texmf-dist/tex/latex/standalone/standalone.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/base/article.cls +Document Class: article 2023/05/17 v1.4n Standard LaTeX document class +(/usr/share/texlive/texmf-dist/tex/latex/base/size12.clo)) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty +(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def}] (./verilog_flow.aux) )))}]) (./basics_parsetree.aux) + ) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty +Output written on verilog_flow.pdf (1 page, 9528 bytes). +Transcript written on verilog_flow.log. + +Output written on basics_parsetree.pdf (1 page, 10896 bytes). +Transcript written on basics_parsetree.log. + +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered -.code.tex))) +.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de +f))) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. +tex) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. +tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty +(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) +(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) +(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) @@ -22975,36 +13451,31 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) -(/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de -f))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. -tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. -tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty -(/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) -(/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code -.tex) +.tex}]) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te -x) +x +! I can't find file `overview_flow.aux'. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.37 \end{document} + +(Press Enter to retry, or Control-D to exit) +Please type another input file name: +! Emergency stop. +\enddocument ...keatletter \@@input \jobname .aux + \fi \UseOneTimeHook {enddo... +l.37 \end{document} + +! ==> Fatal error occurred, no output PDF file produced! +Transcript written on overview_flow.log. +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation @@ -23014,7 +13485,8 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing -.code.tex) +.code.texmake[3]: *** [Makefile:35: overview_flow.pdf] Error 1 +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex @@ -23030,7785 +13502,108 @@ (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) -) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty -(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) -(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty -(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty -(/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) -(/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty -(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) -(/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) -(/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) -(/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty -(/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) - -Package hyperref Message: Stopped early. - -) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def -(/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) -(/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty -(/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty -(/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty))))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty -(/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigationsymbols.tex -)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty -For additional information on amsmath, use the `?' option. -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) -(/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) -(/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) -(/usr/share/texmf/tex/latex/lm/lmodern.sty) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty -`inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) -(/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) -(/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex -(/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)))) -(/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty -(/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) -(/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) -(/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) -(/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) -(/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) -(/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) -(/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/units.sty -(/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) -(/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty -(/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty +) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 -.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty +.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty} <./submod_00.pdf +(/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty> <./subm +od_01.pdf + +pdfTeX warning: pdflatex (file ./submod_01.pdf): PDF inclusion: multiple pdfs w +ith page group included in a single page +> <./submod_02.pdf + +pdfTeX warning: pdflatex (file ./submod_02.pdf): +(/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)PDF inclusion: multiple pdfs w +ith page group included in a single page +> <./submod_03.pdf + +pdfTeX warning: pdflatex (file ./submod_03.pdf): )PDF inclusion: multiple pdfs w +ith page group included in a single page +>] (./submod_dots.aux) ) +(/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty +(/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) +Output written on submod_dots.pdf (1 page, 58429 bytes). +Transcript written on submod_dots.log. + (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarytopaths.code.tex))) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarycalc.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryarrows.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. -tex)) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryscopes.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibrarythrough.code.tex) -(/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik -zlibraryshapes.geometric.code.tex -(/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape -s.geometric.code.tex)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) -(/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) -(/usr/share/texmf/tex/latex/lm/t1lmss.fd) +zlibrarytopaths.code.tex)))) +(/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) -(./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) -(./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux) -) -*geometry* driver: auto-detecting -*geometry* detected driver: pdftex +No file select_prod.aux. (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty +(/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty) +(/usr/share/texlive/texmf-dist/tex/latex/grfext/grfext.sty +(/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty)) +(/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty +(/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) +(/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty)) +(/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) -(./presentation.out) (./presentation.out) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary --English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic -tionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict -ionary-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar -y-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona -ry-English.dict) -(/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona -ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) -(./presentation.nav) -Overfull \hbox (5.77223pt too wide) in paragraph at lines 99--99 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 103--103 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}{/usr/share/texmf/fonts/en -c/dvips/lm/lm-ec.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 123--123 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[2] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[3] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 154--154 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[4] (./PRESENTATION_Intro.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[5] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 105--105 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[6] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[7] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[8] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[9] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[10] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[11] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[12] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 146--146 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[13] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/ot1lmss.fd) -(/usr/share/texmf/tex/latex/lm/omllmm.fd) -(/usr/share/texmf/tex/latex/lm/omslmsy.fd) -(/usr/share/texmf/tex/latex/lm/omxlmex.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) -(/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[14{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 215--215 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[15] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 248--248 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[16] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 264--264 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[17] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 368--368 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[18] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[19] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[20] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[21] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[22] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[23] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[24] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[25] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[26] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[27] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[28] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[29] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[30] -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[31] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 372--372 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 374--374 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) -(./PRESENTATION_Intro/counter.v -(/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd))) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[32{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 376--376 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_Intro/mycells.lib) -(./PRESENTATION_Intro/mycells.lib)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[33] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 389--389 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 397--397 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_00.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[34 <./PRESENTATION_Intro/counter_00.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 406--406 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[35 <./PRESENTATION_Intro/counter_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 408--408 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 415--415 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_02.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[36 <./PRESENTATION_Intro/counter_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 417--417 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_Intro/counter_03.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[37 <./PRESENTATION_Intro/counter_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 432--432 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 468--468 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11 -[][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[38] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 474--474 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 500--500 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[39] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 502--502 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 529--529 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[40] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 531--531 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 559--559 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[41] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 565--565 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 586--586 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[42] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 588--588 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[43] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 641--641 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (1.47926pt too high) detected at line 641 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[44] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 654--654 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[45] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 674--674 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[46{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 676--676 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 696--696 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[47] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 715--715 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[48] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[49] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 749--749 - [][] \T1/lmss/m/n/6 ([]) -(/usr/share/texmf/tex/latex/lm/t1lmr.fd) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[50] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 766--766 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[51] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 782--782 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[52] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[53] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 823--823 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[54] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 841--841 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[55] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 862--862 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[56] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 884--884 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[57] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 907--907 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[58] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 930--930 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[59] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 955--955 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[60]) (./PRESENTATION_ExSyn.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[61] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 27--27 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[62] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 33--33 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[63] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 56--56 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 72--72 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[64] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 78--78 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 104--104 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[65] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 106--106 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 114--114 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v -Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2 -[][][][][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/proc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_01.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[66 <./PRESENTATION_ExSyn/proc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 116--116 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 125--125 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_02.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[67 <./PRESENTATION_ExSyn/proc_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 127--127 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 136--136 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/proc_03.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[68 <./PRESENTATION_ExSyn/proc_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 142--142 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 166--166 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[69] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[70 <./PRESENTATION_ExSyn/opt_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 188--188 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_02.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[71 <./PRESENTATION_ExSyn/opt_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 190--190 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 199--199 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_03.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[72 <./PRESENTATION_ExSyn/opt_03.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 210--210 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/opt_04.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/opt_04.v -Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][][][] -) (./PRESENTATION_ExSyn/opt_04.ys)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[73 <./PRESENTATION_ExSyn/opt_04.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 236--236 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[74] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 242--242 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 268--268 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.12932pt too high) detected at line 268 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[75] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 270--270 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 279--279 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_01.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[76 <./PRESENTATION_ExSyn/memory_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 281--281 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 290--290 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/memory_02.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys)) -Overfull \vbox (4.767pt too high) detected at line 290 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[77 <./PRESENTATION_ExSyn/memory_02.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 296--296 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 320--320 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[78] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[79] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 362--362 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/techmap_01.pdf): PDF inclus -ion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 362 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 362 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[80 <./PRESENTATION_ExSyn/techmap_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 383--383 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[81] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 409--409 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[82] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 411--411 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 419--419 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v) -(./PRESENTATION_ExSyn/abc_01.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExSyn/abc_01.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[83 <./PRESENTATION_ExSyn/abc_01.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 442--442 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[84] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 448--448 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 489--489 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7 -[][][][][][][][][][][][][][][] - -Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29 -[][][][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31 -[][][][][][][][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[85] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 514--514 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[86]) (./PRESENTATION_ExAdv.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[87] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 16--16 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[88] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 25--25 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[89] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 29--29 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 50--50 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[90] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 54--54 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[91] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 69--69 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 92--92 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[92] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 96--96 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 111--111 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[93] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 139--139 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8 -[][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ -][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[94] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 143--143 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 167--167 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[95] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 171--171 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[96] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 221--221 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[97] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 223--223 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 231--231 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/select.v) -(./PRESENTATION_ExAdv/select.ys) - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/select.pdf): PDF inclusion: - found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[98 <./PRESENTATION_ExAdv/select.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 240--240 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[99] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 260--260 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[100] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 272--272 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[101] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 285--285 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/red_or3x1.pdf): PDF inclusi -on: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/red_or3x1_test.ys) -Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285 -[][][][][][][][][][][] -(./PRESENTATION_ExAdv/red_or3x1_test.v) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[102 <./PRESENTATION_ExAdv/red_or3x1.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 305--305 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[103] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 321--321 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/sym_mul.pdf): PDF inclusion -: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) -(./PRESENTATION_ExAdv/sym_mul_test.ys) -Overfull \vbox (2.16698pt too high) detected at line 321 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[104 <./PRESENTATION_ExAdv/sym_mul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 347--347 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[105] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 366--366 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mymul.pdf): PDF inclusion: -found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mymul_map.v -Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8 -[][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys) -(./PRESENTATION_ExAdv/mymul_test.ys) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[106 <./PRESENTATION_ExAdv/mymul.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 386--386 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[107] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 404--404 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/mulshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/mulshift_map.v -Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21 -[][][][][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[108 <./PRESENTATION_ExAdv/mulshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 427--427 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[109] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 445--445 - [][] \T1/lmss/m/n/6 ([]) - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/addshift.pdf): PDF inclusio -n: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/addshift_map.v -Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17 -[][][][][][][][][][][][][][][][][][][][][][][][] - -Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19 -[][][][][][][][][] -) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[110 <./PRESENTATION_ExAdv/addshift.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 469--469 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[111] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 478--478 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[112] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 482--482 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 501--501 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[113] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 517--517 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[114] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 519--519 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 541--541 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -(./PRESENTATION_ExAdv/macc_simple_test.v) -(./PRESENTATION_ExAdv/macc_simple_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_00b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 543--543 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 554--554 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v) -Underfull \hbox (badness 10000) detected at line 3 -[]| -(./PRESENTATION_ExAdv/macc_simple_test_02.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc -_simple_test_02a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page -> <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): -PDF inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 585--585 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[117] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 589--589 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 599--599 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[118] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 601--601 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 610--610 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v -Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21 -[][][][][][][][][][][][][][][][][] -) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \vbox (1.21974pt too high) detected at line 610 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[119] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 612--612 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 621--621 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) -Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6 -[][][][][][][][][][][][][][][][][] -(./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[120] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 623--623 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 629--629 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[121] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 631--631 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 640--640 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[122] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 642--642 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 651--651 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) -(./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[123] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 653--653 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 671--671 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 4 -[]| -(./PRESENTATION_ExAdv/macc_xilinx_test.v) -Underfull \hbox (badness 10000) detected at line 5 -[]| - -Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11 -[][][][][][][][] - -Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12 -[][][][][][][] +pdfTeX warning: pdflatex (file ./sumprod_02.pdf): PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2a.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 673--673 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 690--690 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x -ilinx_test2b.pdf - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD -F inclusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 692--692 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 710--710 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 712--712 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 730--730 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 -[][][][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 -[][][][][][][][] - -Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 -[][][][][][][][][] - -Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 -[][][][][][][][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 732--732 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 758--758 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 760--760 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 786--786 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 -[][] - - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 788--788 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 799--799 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb - -pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf): PD -F inclusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 809--809 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[131] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 827--827 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[132] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 831--831 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 872--872 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25 -[][][][][][][][][][][][][][][][][] -) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[133] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 895--895 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[134]) (./PRESENTATION_ExOth.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[135] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 14--14 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[136] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 23--23 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[137] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 43--43 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[138] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 45--45 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 65--65 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/scrambler.v) -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p01.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed +pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: found PDF version <1.7>, but at most version <1.5> allowed -) -Overfull \vbox (12.54794pt too high) detected at line 65 +pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p -02.pdf -pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc -lusion: multiple pdfs with page group included in a single page ->] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 67--67 - [][] \T1/lmss/m/n/6 ([]) +pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: found PDF vers +ion <1.7>, but at most version <1.5> allowed +[1 <./sumprod_02.pdf> <./sumprod_03.pdf -Overfull \hbox (5.77223pt too wide) in paragraph at lines 89--89 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[140] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 98--98 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[141] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 115--115 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[142] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 131--131 - [][] \T1/lmss/m/n/6 ([]) -(./PRESENTATION_ExSyn/techmap_01_map.v) -Overfull \vbox (167.4641pt too high) detected at line 131 -(./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) -Overfull \vbox (77.37361pt too high) detected at line 131 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[143] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 133--133 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 159--159 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.61974pt too high) detected at line 159 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[144] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 161--161 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 177--177 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb (./PRESENTATION_ExOth/axis_master.v) -(./PRESENTATION_ExOth/axis_test.v)) -Overfull \vbox (5.41972pt too high) detected at line 177 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[145] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 179--179 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 201--201 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[146] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 226--226 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[147]) (./PRESENTATION_Prog.tex -Overfull \hbox (5.77223pt too wide) in paragraph at lines 6--6 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[148] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 39--39 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[149] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 68--68 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[150] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 74--74 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[151] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 118--118 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[152] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 144--144 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[153] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 168--168 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[154] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 172--172 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 193--193 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) -(/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[155] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 197--197 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 218--218 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[156] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 222--222 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 251--251 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[157] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 255--255 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 280--280 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[158] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 284--284 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 316--316 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[159] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 318--318 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 338--338 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[160] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 342--342 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 364--364 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[161] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 370--370 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 399--399 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \vbox (2.21974pt too high) detected at line 399 - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[162] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 420--420 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[163] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 426--426 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 454--454 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[164] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 460--460 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 485--485 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[165] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 491--491 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 510--510 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[166] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 516--516 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 540--540 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[167] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 546--546 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) in paragraph at lines 568--568 - [][] \T1/lmss/m/n/6 ([]) -(./presentation.vrb) -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[168] -Overfull \hbox (5.77223pt too wide) in paragraph at lines 595--595 - [][] \T1/lmss/m/n/6 ([]) - -Overfull \hbox (5.77223pt too wide) has occurred while \output is active - [][] \T1/lmss/m/n/6 ([]) -[169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux -) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) -(./PRESENTATION_Prog.aux)) ) -(see the transcript file for additional information) -Output written on presentation.pdf (169 pages, 895199 bytes). -Transcript written on presentation.log. -+ md5sum PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc -+ cmp autoloop.old autoloop.new -+ rm -f autoloop.old -+ rm -f autoloop.new -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - rm -f debian/yosys-doc.debhelper.log - debian/rules override_dh_auto_test-arch -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -dh_auto_test -- PATH="$PWD:$PATH" - make -j22 test PATH=/build/reproducible-path/yosys-0.33:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games -make[2]: Entering directory '/build/reproducible-path/yosys-0.33' -[Makefile.conf] CONFIG := gcc -[Makefile.conf] ABCPULL=0 -[Makefile.conf] STRIP=: -cd tests/simple && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/simple' -+ gcc -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/yosys-0.33=. -flto=auto -ffat-lto-objects -fstack-protector-strong -Wformat -Werror=format-security -o /build/reproducible-path/yosys-0.33/tests/tools/cmp_tbdata /build/reproducible-path/yosys-0.33/tests/tools/cmp_tbdata.c -Test: case_expr_extend -> ok -Test: local_loop_var -> ok -Test: unnamed_block_decl -> ok -Test: case_expr_query -> ok -Test: matching_end_labels -> ok -Test: memwr_port_connection -> ok -Test: always01 -> ok -Test: lesser_size_cast -> ok -Test: always02 -> ok -Test: attrib01_module -> ok -Test: case_expr_const -> ok -Test: implicit_ports -> ok -Test: arrays01 -> ok -Test: aes_kexp128 -> ok -Test: arrays02 -> ok -Test: attrib02_port_decl -> ok -Test: case_expr_non_const -> ok -Test: arraycells -> ok -Test: attrib04_net_var -> ok -Test: const_branch_finish -> ok -Test: attrib03_parameter -> ok -Test: always03 -> ok -Test: defvalue -> ok -Test: carryadd -> ok -Test: attrib08_mod_inst -> ok -Test: attrib09_case -> ok -Test: macro_arg_spaces -> ok -Test: attrib06_operator_suffix -> ok -Test: const_fold_func -> ok -Test: genblk_collide -> ok -Test: genblk_order -> ok -Test: genblk_dive -> ok -Test: genblk_port_shadow -> ok -Test: forgen01 -> ok -Test: func_recurse -> ok -Test: constpower -> ok -Test: forgen02 -> ok -Test: fiedler-cooley -> ok -Test: ifdef_2 -> ok -Test: ifdef_1 -> ok -Test: graphtest -> ok -Test: forloops -> ok -Test: hierarchy -> ok -Test: const_func_shadow -> ok -Test: loop_var_shadow -> ok -Test: localparam_attr -> ok -Test: dff_init -> ok -Test: func_block -> ok -Test: loop_prefix_case -> ok -Test: fsm -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: func_width_scope -> ok -Test: module_scope_case -> ok -Test: named_genblk -> ok -Test: nested_genblk_resolve -> ok -Test: module_scope_func -> ok -Test: hierdefparam -> ok -Test: i2c_master_tests -> ok -Test: mem2reg_bounds_tern -> ok -Test: macros -> ok -Test: module_scope -> ok -Test: omsp_dbg_uart -> ok -Test: param_attr -> ok -Test: loops -> ok -Test: dff_different_styles -> ok -Test: retime -> ok -Test: realexpr -> ok -Test: muxtree -> ok -Test: signedexpr -> ok -Test: specify -> ok -Test: signed_full_slice -> ok -Test: string_format -> ok -Test: scopes -> ok -Test: repwhile -> ok -Test: undef_eqx_nex -> ok -Test: paramods -> ok -Test: multiplier -> ok -Test: mem_arst -> ok -Test: usb_phy_tests -> ok -Test: verilog_primitives -> ok -Test: process -> ok -Test: asgn_binop -> ok -Test: mem2reg -> ok -Test: subbytes -> ok -Test: constmuldivmod -> ok -Test: values -> ok -Test: dynslice -> ok -Test: wandwor -> ok -Test: task_func -> ok -Test: vloghammer -> ok -Test: wreduce -> ok -Test: sincos -> ok -Test: generate -> ok -Test: partsel -> ok -Test: operators -> ok -Test: rotate -> ok -Test: case_large -> ok -Test: memory -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/simple' -cd tests/simple_abc9 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/simple_abc9' -Test: const_branch_finish -> ok -Test: case_expr_const -> ok -Test: case_expr_non_const -> ok -Test: arrays01 -> ok -Test: always03 -> ok -Test: always02 -> ok -Test: always01 -> ok -Test: attrib08_mod_inst -> ok -Test: attrib02_port_decl -> ok -Test: aes_kexp128 -> ok -Test: const_fold_func -> ok -Test: attrib01_module -> ok -Test: carryadd -> ok -Test: attrib09_case -> ok -Test: attrib04_net_var -> ok -Test: arraycells -> ok -Test: attrib06_operator_suffix -> ok -Test: attrib03_parameter -> ok -Test: genblk_collide -> ok -Test: genblk_dive -> ok -Test: genblk_order -> ok -Test: func_recurse -> ok -Test: forgen01 -> ok -Test: genblk_port_shadow -> ok -Test: forgen02 -> ok -Test: func_block -> ok -Test: dff_init -> ok -Test: forloops -> ok -Test: fsm -> ok -Test: graphtest -> ok -Test: fiedler-cooley -> ok -Test: func_width_scope -> ok -Test: constpower -> ok -Test: ifdef_1 -> ok -Test: ifdef_2 -> ok -Test: localparam_attr -> ok -Test: const_func_shadow -> ok -Test: loop_prefix_case -> ok -Test: hierarchy -> ok -Test: loop_var_shadow -> ok -Test: macro_arg_surrounding_spaces -> ok -Test: named_genblk -> ok -Test: i2c_master_tests -> ok -Test: module_scope_case -> ok -Test: nested_genblk_resolve -> ok -Test: module_scope_func -> ok -Test: macros -> ok -Test: mem2reg_bounds_tern -> ok -Test: dff_different_styles -> ok -Test: omsp_dbg_uart -> ok -Test: loops -> ok -Test: param_attr -> ok -Test: muxtree -> ok -Test: hierdefparam -> ok -Test: retime -> ok -Test: module_scope -> ok -Test: mem_arst -> ok -Test: string_format -> ok -Test: signedexpr -> ok -Test: repwhile -> ok -Test: scopes -> ok -Test: realexpr -> ok -Test: signed_full_slice -> ok -Test: undef_eqx_nex -> ok -Test: process -> ok -Test: multiplier -> ok -Test: mem2reg -> ok -Test: usb_phy_tests -> ok -Test: subbytes -> ok -Test: verilog_primitives -> ok -Test: paramods -> ok -Test: arrays02 -> ok -Test: case_expr_extend -> ok -Test: case_expr_query -> ok -Test: lesser_size_cast -> ok -Test: values -> ok -Test: wandwor -> ok -Test: memwr_port_connection -> ok -Test: constmuldivmod -> ok -Test: macro_arg_spaces -> ok -Test: rotate -> ok -Test: asgn_binop -> ok -Test: local_loop_var -> ok -Test: matching_end_labels -> ok -Test: implicit_ports -> ok -Test: defvalue -> ok -Test: unnamed_block_decl -> ok -Test: sincos -> ok -Test: vloghammer -> ok -Test: task_func -> ok -Test: generate -> ok -Test: wreduce -> ok -Test: abc9 -> ok -Test: memory -> ok -Test: partsel -> ok -Test: operators -> ok -Test: dynslice -> ok -Test: case_large -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/simple_abc9' -cd tests/hana && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/hana' -Test: test_simulation_buffer -> ok -Test: test_simulation_vlib -> ok -Test: test_simulation_seq -> ok -Test: test_simulation_xor -> ok -Test: test_simulation_nor -> ok -Test: test_simulation_xnor -> ok -Test: test_simulation_nand -> ok -Test: test_simulation_or -> ok -Test: test_parse2synthtrans -> ok -Test: test_simulation_and -> ok -Test: test_simulation_inc -> ok -Test: test_simulation_sop -> ok -Test: test_parser -> ok -Test: test_simulation_decoder -> ok -Test: test_simulation_techmap -> ok -Test: test_simulation_always -> ok -Test: test_simulation_mux -> ok -Test: test_simulation_shifter -> ok -Test: test_simulation_techmap_tech -> ok -Test: test_intermout -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/hana' -cd tests/asicworld && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/asicworld' -Test: code_hdl_models_decoder_2to4_gates -> ok -Test: code_hdl_models_d_latch_gates -> ok -Test: code_hdl_models_dff_sync_reset -> ok -Test: code_hdl_models_dff_async_reset -> ok -Test: code_hdl_models_d_ff_gates -> ok -Test: code_hdl_models_full_adder_gates -> ok -Test: code_hdl_models_half_adder_gates -> ok -Test: code_hdl_models_mux_2to1_gates -> ok -Test: code_hdl_models_full_subtracter_gates -> ok -Test: code_hdl_models_clk_div -> ok -Test: code_hdl_models_encoder_4to2_gates -> ok -Test: code_hdl_models_lfsr -> ok -Test: code_hdl_models_GrayCounter -> ok -Test: code_hdl_models_decoder_using_case -> ok -Test: code_hdl_models_decoder_using_assign -> ok -Test: code_hdl_models_gray_counter -> ok -Test: code_hdl_models_lfsr_updown -> ok -Test: code_hdl_models_arbiter -> ok -Test: code_hdl_models_encoder_using_case -> ok -Test: code_hdl_models_encoder_using_if -> ok -Test: code_hdl_models_clk_div_45 -> ok -Test: code_hdl_models_parity_using_bitwise -> ok -Test: code_hdl_models_parity_using_function -> ok -Test: code_hdl_models_parity_using_assign -> ok -Test: code_hdl_models_mux_using_case -> ok -Test: code_hdl_models_one_hot_cnt -> ok -Test: code_hdl_models_tff_async_reset -> ok -Test: code_hdl_models_mux_using_assign -> ok -Test: code_hdl_models_mux_using_if -> ok -Test: code_hdl_models_rom_using_case -> ok -Test: code_hdl_models_tff_sync_reset -> ok -Test: code_hdl_models_up_counter -> ok -Test: code_hdl_models_parallel_crc -> ok -Test: code_hdl_models_serial_crc -> ok -Test: code_tidbits_asyn_reset -> ok -Test: code_tidbits_blocking -> ok -Test: code_hdl_models_up_counter_load -> ok -Test: code_verilog_tutorial_always_example -> ok -Test: code_hdl_models_pri_encoder_using_assign -> ok -Test: code_tidbits_nonblocking -> ok -Test: code_tidbits_reg_combo_example -> ok -Test: code_tidbits_reg_seq_example -> ok -Test: code_verilog_tutorial_bus_con -> ok -Test: code_tidbits_wire_example -> ok -Test: code_tidbits_syn_reset -> ok -Test: code_verilog_tutorial_addbit -> ok -Test: code_verilog_tutorial_comment -> ok -Test: code_tidbits_fsm_using_always -> ok -Test: code_verilog_tutorial_counter -> ok -Test: code_tidbits_fsm_using_function -> ok -Test: code_hdl_models_up_down_counter -> ok -Test: code_verilog_tutorial_d_ff -> ok -Test: code_verilog_tutorial_escape_id -> ok -Test: code_verilog_tutorial_if_else -> ok -Test: code_verilog_tutorial_first_counter -> ok -Test: code_verilog_tutorial_multiply -> ok -Test: code_verilog_tutorial_n_out_primitive -> ok -Test: code_verilog_tutorial_decoder -> ok -Test: code_verilog_tutorial_good_code -> ok -Test: code_verilog_tutorial_decoder_always -> ok -Test: code_tidbits_fsm_using_single_always -> ok -Test: code_verilog_tutorial_flip_flop -> ok -Test: code_verilog_tutorial_parallel_if -> ok -Test: code_verilog_tutorial_simple_function -> ok -Test: code_verilog_tutorial_simple_if -> ok -Test: code_specman_switch_fabric -> ok -Test: code_verilog_tutorial_explicit -> ok -Test: code_verilog_tutorial_task_global -> ok -Test: code_verilog_tutorial_v2k_reg -> ok -Test: code_verilog_tutorial_mux_21 -> ok -Test: code_verilog_tutorial_parity -> ok -Test: code_verilog_tutorial_which_clock -> ok -Test: code_verilog_tutorial_tri_buf -> ok -Test: code_verilog_tutorial_fsm_full -> ok -Test: code_hdl_models_uart -> ok -Test: code_hdl_models_cam -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/asicworld' -# +cd tests/realmath && bash run-test.sh "" -cd tests/share && bash run-test.sh "" -generating tests.. -running tests.. -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] -cd tests/opt_share && bash run-test.sh "" -generating tests.. -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/opt_share' -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/opt_share' - -cd tests/fsm && bash run-test.sh "" -generating tests.. -PRNG seed: 1725664996 -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/fsm' -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[24]K[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[26]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[27]K[28]K[29]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[30]K[31]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -KK[34][35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[36]K[37]KK[38][39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[40]K[41]K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[43]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[44]K[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[46]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -K[47]K[48]K[49]KKKWarning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -Warning: Regarding the user-specified fsm_encoding attribute on gate.state: - Users of state reg look like FSM recoding might result in larger circuit. - Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! -KKKKKKKKKKKKKKKTTTT -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/fsm' -cd tests/techmap && bash run-test.sh -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/techmap' -Warning: wire '\Q' is assigned in a block at < ok -Test: implicit_en -> ok -Test: no_implicit_en -> ok -Test: simple_sram_byte_en -> ok -Test: wide_thru_priority -> ok -Test: shared_ports -> ok -Test: wide_read_async -> ok -Test: trans_addr_enable -> ok -Test: wide_write -> ok -Test: trans_sp -> ok -Test: trans_sdp -> ok -Test: read_arst -> ok -Test: wide_all -> ok -Test: issue00710 -> ok -Test: wide_read_sync -> ok -Test: read_two_mux -> ok -Test: wide_read_trans -> ok -Test: wide_read_mixed -> ok -Test: amber23_sram_byte_en -> ok -Test: issue00335 -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/memories' -Testing expectations for amber23_sram_byte_en.v .. ok. -Testing expectations for implicit_en.v .. ok. -Testing expectations for issue00335.v .. ok. -Testing expectations for issue00710.v .. ok. -Testing expectations for no_implicit_en.v .. ok. -Testing expectations for read_arst.v .. ok. -Testing expectations for read_two_mux.v .. ok. -Testing expectations for shared_ports.v .. ok. -Testing expectations for simple_sram_byte_en.v .. ok. -Testing expectations for trans_addr_enable.v .. ok. -Testing expectations for trans_sdp.v .. ok. -Testing expectations for trans_sp.v .. ok. -Testing expectations for wide_all.v .. ok. -Testing expectations for wide_read_async.v .. ok. -Testing expectations for wide_read_mixed.v .. ok. -Testing expectations for wide_read_sync.v .. ok. -Testing expectations for wide_read_trans.v .. ok. -Testing expectations for wide_thru_priority.v .. ok. -Testing expectations for wide_write.v .. ok. -cd tests/memlib && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/memlib' -Test: t_sync_small_block -> ok -Test: t_init_lut_zeros_zero -> ok -Test: t_sync_small_block_attr -> ok -Test: t_init_lut_zeros_any -> ok -Test: t_init_lut_x_any -> ok -Test: t_ram_18b2B -> ok -Test: t_init_lut_val_no_undef -> ok -Test: t_init_lut_val_any -> ok -Test: t_init_lut_x_zero -> ok -Test: t_async_small -> ok -Test: t_init_lut_x_none -> ok -Test: t_init_lut_val_zero -> ok -Test: t_init_lut_x_no_undef -> ok -Test: t_sync_big_sdp -> ok -Test: t_sync_big -> ok -Test: t_sync_small -> ok -Test: t_async_small_block -> ok -Test: t_init_lut_val2_no_undef -> ok -Test: t_init_lut_val2_any -> ok -Test: t_ram_9b1B -> ok -Test: t_ram_4b1B -> ok -Test: t_init_13b2B_val_any -> ok -Test: t_ram_2b1B -> ok -Test: t_ram_1b1B -> ok -Test: t_init_9b1B_zeros_zero -> ok -Test: t_init_9b1B_val_any -> ok -Test: t_init_9b1B_zeros_any -> ok -Test: t_init_9b1B_val_no_undef -> ok -Test: t_init_18b2B_val_any -> ok -Test: t_init_9b1B_val_zero -> ok -Test: t_init_4b1B_x_any -> ok -Test: t_init_18b2B_val_no_undef -> ok -Test: t_init_4b1B_x_no_undef -> ok -Test: t_clock_a4_wANYrANYsFalse -> ok -Test: t_init_4b1B_x_none -> ok -Test: t_init_4b1B_x_zero -> ok -Test: t_clock_a4_wANYrNEGsFalse -> ok -Test: t_clock_a4_wANYrPOSsFalse -> ok -Test: t_clock_a4_wNEGrNEGsFalse -> ok -Test: t_clock_a4_wNEGrANYsFalse -> ok -Test: t_clock_a4_wNEGrPOSsFalse -> ok -Test: t_clock_a4_wPOSrNEGsFalse -> ok -Test: t_clock_a4_wANYrANYsTrue -> ok -Test: t_clock_a4_wPOSrPOSsFalse -> ok -Test: t_clock_a4_wPOSrANYsFalse -> ok -Test: t_clock_a4_wNEGrNEGsTrue -> ok -Test: t_clock_a4_wPOSrPOSsTrue -> ok -Test: t_unmixed -> ok -Test: t_clock_a4_wPOSrNEGsTrue -> ok -Test: t_clock_a4_wNEGrPOSsTrue -> ok -Test: t_sync_2clk -> ok -Test: t_mixed_36_9 -> ok -Test: t_mixed_18_9 -> ok -Test: t_mixed_4_2 -> ok -Test: t_mixed_9_18 -> ok -Test: t_sync_shared -> ok -Test: t_tdp -> ok -Test: t_sync_trans_old_new -> ok -Test: t_sync_trans_old_old -> ok -Test: t_sync_trans_new_new -> ok -Test: t_sync_trans_new_none -> ok -Test: t_sync_trans_old_none -> ok -Test: t_sp_new_none -> ok -Test: t_sync_trans_new_old -> ok -Test: t_sp_nc_none -> ok -Test: t_sp_nc_nc -> ok -Test: t_sp_old_none -> ok -Test: t_sp_new_nc -> ok -Test: t_sp_new_new -> ok -Test: t_sp_nc_old -> ok -Test: t_sp_nc_new -> ok -Test: t_sp_old_nc -> ok -Test: t_sync_2clk_shared -> ok -Test: t_sp_new_old -> ok -Test: t_sp_old_new -> ok -Test: t_sp_old_old -> ok -Test: t_sp_nc_new_only -> ok -Test: t_sp_new_new_only -> ok -Test: t_sp_new_new_only_be -> ok -Test: t_sp_new_new_be -> ok -Test: t_sp_old_new_only -> ok -Test: t_sp_nc_new_only_be -> ok -Test: t_sp_nc_new_be -> ok -Test: t_sp_old_new_only_be -> ok -Test: t_sp_old_old_be -> ok -Test: t_sp_new_old_be -> ok -Test: t_sp_nc_old_be -> ok -Test: t_sp_old_new_be -> ok -Test: t_sp_nc_nc_be -> ok -Test: t_sp_new_nc_be -> ok -Test: t_sp_new_auto -> ok -Test: t_sp_nc_auto -> ok -Test: t_sp_old_nc_be -> ok -Test: t_sp_old_auto -> ok -Test: t_sp_nc_auto_be -> ok -Test: t_sp_old_auto_be -> ok -Test: t_sp_new_auto_be -> ok -Test: t_sp_init_x_x -> ok -Test: t_sp_init_x_x_re -> ok -Test: t_sp_init_0_0 -> ok -Test: t_sp_init_x_x_ce -> ok -Test: t_sp_init_0_x -> ok -Test: t_sp_init_0_x_re -> ok -Test: t_sp_init_0_0_re -> ok -Test: t_sp_init_0_any -> ok -Test: t_sp_init_0_any_re -> ok -Test: t_sp_init_v_x_re -> ok -Test: t_sp_init_v_0_re -> ok -Test: t_sp_init_v_0 -> ok -Test: t_sp_init_v_x -> ok -Test: t_sp_init_v_any -> ok -Test: t_sp_init_v_any_re -> ok -Test: t_sp_arst_x_x -> ok -Test: t_sp_arst_x_x_re -> ok -Test: t_sp_arst_0_x -> ok -Test: t_sp_arst_0_x_re -> ok -Test: t_sp_arst_0_0 -> ok -Test: t_sp_arst_0_any_re -> ok -Test: t_sp_arst_0_0_re -> ok -Test: t_sp_arst_0_any -> ok -Test: t_sp_arst_0_init -> ok -Test: t_sp_arst_0_init_re -> ok -Test: t_sp_arst_v_x -> ok -Test: t_sp_arst_v_x_re -> ok -Test: t_sp_arst_v_0_re -> ok -Test: t_sp_arst_v_any -> ok -Test: t_sp_arst_v_0 -> ok -Test: t_sp_arst_v_init -> ok -Test: t_sp_arst_v_any_re -> ok -Test: t_sp_arst_v_init_re -> ok -Test: t_sp_arst_e_x -> ok -Test: t_sp_arst_e_x_re -> ok -Test: t_sp_arst_e_0 -> ok -Test: t_sp_arst_e_0_re -> ok -Test: t_sp_arst_e_any -> ok -Test: t_sp_arst_e_any_re -> ok -Test: t_sp_arst_e_init -> ok -Test: t_sp_arst_n_x -> ok -Test: t_sp_arst_n_0 -> ok -Test: t_sp_arst_e_init_re -> ok -Test: t_sp_arst_n_x_re -> ok -Test: t_sp_arst_n_any_re -> ok -Test: t_sp_arst_n_any -> ok -Test: t_sp_arst_n_0_re -> ok -Test: t_sp_arst_n_init_re -> ok -Test: t_sp_srst_0_x -> ok -Test: t_sp_arst_n_init -> ok -Test: t_sp_srst_x_x_re -> ok -Test: t_sp_srst_x_x -> ok -Test: t_sp_srst_0_x_re -> ok -Test: t_sp_srst_0_0 -> ok -Test: t_sp_srst_0_0_re -> ok -Test: t_sp_srst_0_any -> ok -Test: t_sp_srst_0_any_re -> ok -Test: t_sp_srst_0_init_re -> ok -Test: t_sp_srst_0_init -> ok -Test: t_sp_srst_v_0_re -> ok -Test: t_sp_srst_v_x -> ok -Test: t_sp_srst_v_x_re -> ok -Test: t_sp_srst_v_0 -> ok -Test: t_sp_srst_v_any_ce -> ok -Test: t_sp_srst_v_any -> ok -Test: t_sp_srst_v_any_re -> ok -Test: t_sp_srst_v_any_re_gated -> ok -Test: t_sp_srst_v_init -> ok -Test: t_sp_srst_v_any_ce_gated -> ok -Test: t_sp_srst_v_init_re -> ok -Test: t_sp_srst_e_x -> ok -Test: t_sp_srst_e_x_re -> ok -Test: t_sp_srst_e_0 -> ok -Test: t_sp_srst_e_0_re -> ok -Test: t_sp_srst_e_any_re -> ok -Test: t_sp_srst_e_any -> ok -Test: t_sp_srst_e_init -> ok -Test: t_sp_srst_n_x_re -> ok -Test: t_sp_srst_e_init_re -> ok -Test: t_sp_srst_n_0_re -> ok -Test: t_sp_srst_n_0 -> ok -Test: t_sp_srst_n_x -> ok -Test: t_sp_srst_n_any_re -> ok -Test: t_sp_srst_n_any -> ok -Test: t_sp_srst_n_init_re -> ok -Test: t_sp_srst_gv_x -> ok -Test: t_sp_srst_n_init -> ok -Test: t_async_big -> ok -Test: t_sp_srst_gv_0 -> ok -Test: t_sp_srst_gv_x_re -> ok -Test: t_sync_big_lut -> ok -Test: t_sp_srst_gv_any -> ok -Test: t_sp_srst_gv_0_re -> ok -Test: t_sp_srst_gv_any_re -> ok -Test: t_sp_srst_gv_any_re_gated -> ok -Test: t_sp_srst_gv_any_ce -> ok -Test: t_wren_a4d4_NO_BYTE -> ok -Test: t_sp_srst_gv_any_ce_gated -> ok -Test: t_sp_srst_gv_init -> ok -Test: t_wren_a6d4_NO_BYTE -> ok -Test: t_wren_a3d8_NO_BYTE -> ok -Test: t_sp_srst_gv_init_re -> ok -Test: t_wren_a5d4_NO_BYTE -> ok -Test: t_wren_a4d8_NO_BYTE -> ok -Test: t_wren_a4d4_W4_B4 -> ok -Test: t_wren_a4d8_W8_B8 -> ok -Test: t_wren_a4d8_W8_B4_separate -> ok -Test: t_wren_a4d8_W8_B4 -> ok -Test: t_wren_a4d8_W4_B4_separate -> ok -Test: t_wren_a4d8_W8_B8_separate -> ok -Test: t_wren_a4d4w4_W16_B4_separate -> ok -Test: t_wren_a4d4w4_W16_B4 -> ok -Test: t_wren_a4d2w8_W16_B4 -> ok -Test: t_wren_a5d4w2_W16_B4 -> ok -Test: t_wren_a4d2w8_W16_B4_separate -> ok -Test: t_wren_a5d4w2_W16_B4_separate -> ok -Test: t_wren_a5d4w4_W16_B4 -> ok -Test: t_wren_a5d8w1_W16_B4_separate -> ok -Test: t_wren_a5d4w4_W16_B4_separate -> ok -Test: t_wren_a5d8w1_W16_B4 -> ok -Test: t_wren_a5d8w2_W16_B4 -> ok -Test: t_wren_a4d8w2_W16_B4 -> ok -Test: t_wren_a4d8w2_W16_B4_separate -> ok -Test: t_wren_a4d4w2_W8_B8 -> ok -Test: t_wren_a4d4w1_W8_B8 -> ok -Test: t_wren_a4d4w2_W8_B8_separate -> ok -Test: t_wren_a4d4w1_W8_B8_separate -> ok -Test: t_wren_a5d8w2_W16_B4_separate -> ok -Test: t_wren_a4d16w1_W16_B4_separate -> ok -Test: t_wren_a4d16w1_W16_B4 -> ok -Test: t_wren_a4d8w2_W8_B8 -> ok -Test: t_wren_a3d8w2_W8_B8 -> ok -Test: t_wren_a4d4w2_W8_B4 -> ok -Test: t_wren_a4d8w2_W8_B8_separate -> ok -Test: t_wren_a4d2w4_W8_B4 -> ok -Test: t_wren_a3d8w2_W8_B8_separate -> ok -Test: t_wren_a4d4w2_W8_B4_separate -> ok -Test: t_geom_a4d64_wren -> ok -Test: t_wren_a4d2w4_W8_B4_separate -> ok -Test: t_wren_a4d4w4_W8_B4_separate -> ok -Test: t_wren_a4d4w4_W8_B4 -> ok -Test: t_geom_a6d16_wren -> ok -Test: t_geom_a5d32_wren -> ok -Test: t_geom_a5d64_wren -> ok -Test: t_wren_a4d4w4_W4_B4_separate -> ok -Test: t_geom_a6d30_wren -> ok -Test: t_wren_a4d4w4_W4_B4 -> ok -Test: t_wren_a4d4w5_W4_B4_separate -> ok -Test: t_wren_a4d4w5_W4_B4 -> ok -Test: t_geom_a7d6_wren -> ok -Test: t_geom_a7d4_wren -> ok -Test: t_geom_a6d64_wren -> ok -Test: t_geom_a7d8_wren -> ok -Test: t_geom_a7d17_wren -> ok -Test: t_geom_a8d4_wren -> ok -Test: t_geom_a8d6_wren -> ok -Test: t_geom_a4d4_9b1B -> ok -Test: t_geom_a4d18_9b1B -> ok -Test: t_geom_a3d18_9b1B -> ok -Test: t_geom_a6d4_9b1B -> ok -Test: t_geom_a9d4_wren -> ok -Test: t_geom_a9d8_wren -> ok -Test: t_geom_a5d32_9b1B -> ok -Test: t_geom_a7d11_9b1B -> ok -Test: t_geom_a9d6_wren -> ok -Test: t_wide_sdp_a6r1w1b1x1 -> ok -Test: t_geom_a9d5_wren -> ok -Test: t_geom_a7d18_9b1B -> ok -Test: t_wide_sdp_a8r1w1b1x1 -> ok -Test: t_wide_sdp_a6r0w0b0x0 -> ok -Test: t_geom_a11d1_9b1B -> ok -Test: t_wide_sdp_a7r1w1b1x1 -> ok -Test: t_wide_sdp_a6r1w0b0x0 -> ok -Test: t_wide_sdp_a6r2w0b0x0 -> ok -Test: t_wide_sdp_a6r3w0b0x0 -> ok -Test: t_wide_sdp_a6r4w0b0x0 -> ok -Test: t_wide_sdp_a6r0w1b1x0 -> ok -Test: t_wide_sdp_a6r0w1b0x0 -> ok -Test: t_wide_sdp_a6r0w2b0x0 -> ok -Test: t_wide_sdp_a6r0w2b2x0 -> ok -Test: t_wide_sdp_a6r5w0b0x0 -> ok -Test: t_wide_sdp_a6r0w3b2x0 -> ok -Test: t_wide_sdp_a7r0w0b0x0 -> ok -Test: t_wide_sdp_a7r1w0b0x0 -> ok -Test: t_wide_sdp_a7r2w0b0x0 -> ok -Test: t_wide_sdp_a7r3w0b0x0 -> ok -Test: t_wide_sdp_a7r0w1b0x0 -> ok -Test: t_wide_sdp_a6r0w4b2x0 -> ok -Test: t_wide_sdp_a7r0w1b1x0 -> ok -Test: t_wide_sdp_a7r4w0b0x0 -> ok -Test: t_wide_sdp_a7r0w2b0x0 -> ok -Test: t_wide_sdp_a7r0w2b2x0 -> ok -Test: t_wide_sdp_a7r5w0b0x0 -> ok -Test: t_wide_sdp_a7r0w3b2x0 -> ok -Test: t_wide_sdp_a6r0w5b2x0 -> ok -Test: t_wide_sp_mix_a6r1w1b1 -> ok -Test: t_wide_sp_mix_a7r1w1b1 -> ok -Test: t_wide_sp_mix_a6r0w0b0 -> ok -Test: t_wide_sp_mix_a6r1w0b0 -> ok -Test: t_wide_sp_mix_a8r1w1b1 -> ok -Test: t_wide_sdp_a7r0w4b2x0 -> ok -Test: t_wide_sp_mix_a6r2w0b0 -> ok -Test: t_wide_sp_mix_a6r3w0b0 -> ok -Test: t_wide_sp_mix_a6r0w1b0 -> ok -Test: t_wide_sp_mix_a6r4w0b0 -> ok -Test: t_async_big_block -> ok -Test: t_wide_sp_mix_a6r0w1b1 -> ok -Test: t_wide_sp_mix_a6r0w2b0 -> ok -Test: t_wide_sp_mix_a6r0w2b2 -> ok -Test: t_wide_sp_mix_a6r0w3b2 -> ok -Test: t_wide_sp_mix_a6r5w0b0 -> ok -Test: t_wide_sp_mix_a7r0w0b0 -> ok -Test: t_wide_sdp_a7r0w5b2x0 -> ok -Test: t_wide_sp_mix_a7r1w0b0 -> ok -Test: t_wide_sp_mix_a6r0w4b2 -> ok -Test: t_wide_sp_mix_a7r2w0b0 -> ok -Test: t_wide_sp_mix_a7r3w0b0 -> ok -Test: t_wide_sp_mix_a7r4w0b0 -> ok -Test: t_wide_sp_mix_a7r0w1b0 -> ok -Test: t_wide_sp_mix_a7r0w1b1 -> ok -Test: t_wide_sp_mix_a7r0w2b0 -> ok -Test: t_wide_sp_mix_a7r0w2b2 -> ok -Test: t_wide_sp_mix_a7r5w0b0 -> ok -Test: t_wide_sp_mix_a7r0w3b2 -> ok -Test: t_wide_sp_tied_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a6r0w0b0 -> ok -Test: t_wide_sp_mix_a6r0w5b2 -> ok -Test: t_wide_sp_tied_a7r1w1b1 -> ok -Test: t_wide_sp_tied_a6r1w0b0 -> ok -Test: t_wide_sp_tied_a8r1w1b1 -> ok -Test: t_wide_sp_mix_a7r0w4b2 -> ok -Test: t_wide_sp_tied_a6r2w0b0 -> ok -Test: t_wide_sp_tied_a6r0w1b0 -> ok -Test: t_wide_sp_tied_a6r3w0b0 -> ok -Test: t_wide_sp_tied_a6r0w1b1 -> ok -Test: t_wide_sp_tied_a6r0w2b0 -> ok -Test: t_wide_sp_tied_a6r0w2b2 -> ok -Test: t_wide_sp_tied_a6r4w0b0 -> ok -Test: t_wide_sp_mix_a7r0w5b2 -> ok -Test: t_wide_sp_tied_a6r0w3b2 -> ok -Test: t_wide_sp_tied_a7r1w0b0 -> ok -Test: t_wide_sp_tied_a7r0w0b0 -> ok -Test: t_wide_sp_tied_a6r5w0b0 -> ok -Test: t_wide_sp_tied_a7r2w0b0 -> ok -Test: t_wide_sp_tied_a7r0w1b1 -> ok -Test: t_wide_sp_tied_a7r0w1b0 -> ok -Test: t_wide_sp_tied_a6r0w4b2 -> ok -Test: t_wide_sp_tied_a7r3w0b0 -> ok -Test: t_wide_sp_tied_a7r0w2b0 -> ok -Test: t_wide_sp_tied_a7r0w2b2 -> ok -Test: t_wide_sp_tied_a7r4w0b0 -> ok -Test: t_wide_sp_tied_a7r0w3b2 -> ok -Test: t_wide_read_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a6r0w5b2 -> ok -Test: t_wide_write_a6r1w1b1 -> ok -Test: t_wide_sp_tied_a7r0w4b2 -> ok -Test: t_wide_read_a7r1w1b1 -> ok -Test: t_wide_sp_tied_a7r5w0b0 -> ok -Test: t_wide_read_a8r1w1b1 -> ok -Test: t_wide_write_a7r1w1b1 -> ok -Test: t_wide_read_a6r0w0b0 -> ok -Test: t_wide_write_a6r0w0b0 -> ok -Test: t_wide_write_a8r1w1b1 -> ok -Test: t_wide_read_a6r1w0b0 -> ok -Test: t_wide_write_a6r1w0b0 -> ok -Test: t_wide_read_a6r2w0b0 -> ok -Test: t_wide_write_a6r2w0b0 -> ok -Test: t_wide_read_a6r3w0b0 -> ok -Test: t_wide_write_a6r3w0b0 -> ok -Test: t_wide_read_a6r4w0b0 -> ok -Test: t_wide_sp_tied_a7r0w5b2 -> ok -Test: t_wide_read_a6r0w1b0 -> ok -Test: t_wide_read_a6r0w1b1 -> ok -Test: t_wide_write_a6r0w1b0 -> ok -Test: t_wide_write_a6r0w1b1 -> ok -Test: t_wide_read_a6r0w2b0 -> ok -Test: t_wide_write_a6r4w0b0 -> ok -Test: t_wide_read_a6r5w0b0 -> ok -Test: t_wide_read_a6r0w2b2 -> ok -Test: t_wide_write_a6r0w2b2 -> ok -Test: t_wide_write_a6r0w2b0 -> ok -Test: t_wide_read_a6r0w3b2 -> ok -Test: t_wide_write_a6r0w3b2 -> ok -Test: t_wide_read_a7r0w0b0 -> ok -Test: t_wide_write_a7r0w0b0 -> ok -Test: t_wide_read_a7r1w0b0 -> ok -Test: t_wide_write_a6r0w4b2 -> ok -Test: t_wide_read_a6r0w4b2 -> ok -Test: t_wide_write_a6r5w0b0 -> ok -Test: t_wide_read_a7r2w0b0 -> ok -Test: t_wide_write_a7r1w0b0 -> ok -Test: t_wide_write_a7r2w0b0 -> ok -Test: t_wide_read_a7r3w0b0 -> ok -Test: t_wide_read_a7r4w0b0 -> ok -Test: t_wide_read_a7r0w1b0 -> ok -Test: t_wide_write_a7r3w0b0 -> ok -Test: t_wide_write_a7r0w1b0 -> ok -Test: t_wide_write_a6r0w5b2 -> ok -Test: t_wide_read_a7r0w1b1 -> ok -Test: t_wide_read_a7r0w2b0 -> ok -Test: t_wide_read_a7r5w0b0 -> ok -Test: t_wide_read_a6r0w5b2 -> ok -Test: t_wide_write_a7r0w1b1 -> ok -Test: t_wide_write_a7r4w0b0 -> ok -Test: t_wide_read_a7r0w2b2 -> ok -Test: t_wide_write_a7r0w2b2 -> ok -Test: t_wide_write_a7r0w2b0 -> ok -Test: t_wide_read_a7r0w3b2 -> ok -Test: t_quad_port_a2d2 -> ok -Test: t_wide_write_a7r0w3b2 -> ok -Test: t_quad_port_a4d2 -> ok -Test: t_wide_write_a7r5w0b0 -> ok -Test: t_wide_quad_a4w2r1 -> ok -Test: t_wide_write_a7r0w4b2 -> ok -Test: t_wide_oct_a4w2r1 -> ok -Test: t_quad_port_a5d2 -> ok -Test: t_quad_port_a4d4 -> ok -Test: t_wide_oct_a4w2r2 -> ok -Test: t_wide_quad_a4w2r2 -> ok -Test: t_wide_quad_a4w2r3 -> ok -Test: t_quad_port_a6d2 -> ok -Test: t_quad_port_a4d8 -> ok -Test: t_wide_oct_a4w2r3 -> ok -Test: t_wide_read_a7r0w4b2 -> ok -Test: t_wide_quad_a4w2r4 -> ok -Test: t_wide_oct_a4w2r4 -> ok -Test: t_wide_write_a7r0w5b2 -> ok -Test: t_wide_quad_a4w2r5 -> ok -Test: t_wide_oct_a4w2r5 -> ok -Test: t_wide_quad_a4w2r6 -> ok -Test: t_wide_read_a7r0w5b2 -> ok -Test: t_wide_oct_a4w2r6 -> ok -Test: t_wide_oct_a4w2r7 -> ok -Test: t_wide_quad_a4w2r7 -> ok -Test: t_wide_quad_a4w2r8 -> ok -Test: t_wide_quad_a4w4r1 -> ok -Test: t_wide_oct_a4w2r8 -> ok -Test: t_wide_quad_a4w4r4 -> ok -Test: t_wide_oct_a4w4r1 -> ok -Test: t_wide_oct_a4w4r4 -> ok -Test: t_wide_quad_a4w2r9 -> ok -Test: t_wide_oct_a4w2r9 -> ok -Test: t_wide_quad_a4w4r6 -> ok -Test: t_wide_oct_a4w4r6 -> ok -Test: t_wide_quad_a5w2r1 -> ok -Test: t_wide_oct_a5w2r1 -> ok -Test: t_wide_oct_a4w4r9 -> ok -Test: t_wide_quad_a4w4r9 -> ok -Test: t_wide_quad_a5w2r4 -> ok -Test: t_wide_oct_a5w2r4 -> ok -Test: t_no_reset -> ok -Test: t_gclken -> ok -Test: t_wide_oct_a5w2r9 -> ok -Test: t_wide_quad_a5w2r9 -> ok -Test: t_grden -> ok -Test: t_transwr -> ok -Test: t_excl_rst -> ok -Test: t_ungated -> ok -Test: t_exclwr -> ok -Test: t_wr_byte -> ok -Test: t_grden_ce -> ok -Test: t_wr_rst_byte -> ok -Test: t_trans_rst -> ok -Test: t_rst_wr_byte -> ok -Test: t_trans_byte -> ok -Test: t_gclken_ce -> ok -Test: t_rdenrst_wr_byte -> ok -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/memlib' -cd tests/bram && bash run-test.sh "" -generating tests.. -PRNG seed: 557034 -running tests.. -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/bram' -Passed memory_bram test 00_03. -Passed memory_bram test 00_02. -Passed memory_bram test 03_04. -Passed memory_bram test 01_04. -Passed memory_bram test 03_02. -Passed memory_bram test 00_04. -Passed memory_bram test 03_01. -Passed memory_bram test 04_02. -Passed memory_bram test 00_01. -Passed memory_bram test 02_04. -Passed memory_bram test 02_03. -Passed memory_bram test 04_03. -Passed memory_bram test 03_00. -Passed memory_bram test 02_00. -Passed memory_bram test 02_01. -Passed memory_bram test 01_03. -Passed memory_bram test 04_01. -Passed memory_bram test 01_02. -Passed memory_bram test 04_00. -Passed memory_bram test 01_00. -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/bram' -cd tests/various && bash run-test.sh -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/various' -Warning: Yosys has only limited support for tri-state logic at the moment. (< svinterface1_tb.v:50: $finish called at 420000 (10ps) -svinterface1_tb.v:50: $finish called at 420000 (10ps) -ok -Test: svinterface_at_top -> svinterface_at_top_tb.v:61: $finish called at 420000 (10ps) -svinterface_at_top_tb_wrapper.v:61: $finish called at 420000 (10ps) -ERROR! -Test: load_and_derive ->ok -Test: resolve_types ->ok -cd tests/svtypes && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/svtypes' -< ok -Test ../../techlibs/anlogic/cells_sim.v -> ok -Test ../../techlibs/coolrunner2/cells_sim.v -> ok -Test ../../techlibs/ecp5/cells_sim.v -> ok -Test ../../techlibs/efinix/cells_sim.v -> ok -Test ../../techlibs/gatemate/cells_sim.v -> ok -Test ../../techlibs/gowin/cells_sim.v -> ok -Test ../../techlibs/greenpak4/cells_sim.v -> ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2231: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2233: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2235: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2237: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2239: warning: Choosing typ expression. - ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2295: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2297: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2299: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2301: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2303: warning: Choosing typ expression. - ok -Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2359: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2361: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2363: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2365: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. -../../techlibs/ice40/cells_sim.v:2367: warning: Choosing typ expression. - ok -Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok -Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok -Test ../../techlibs/intel/max10/cells_sim.v -> ok -Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok -Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok -Test ../../techlibs/nexus/cells_sim.v -> ok -Test ../../techlibs/quicklogic/cells_sim.v -> ok -Test ../../techlibs/sf2/cells_sim.v -> ok -Test ../../techlibs/xilinx/cells_sim.v -> ok -Test ../../techlibs/common/simcells.v -> ok -Test ../../techlibs/common/simlib.v -> ok -cd tests/arch/ice40 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/arch/ice40' -Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. -Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. -Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. -Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. -Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. -Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. -Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. -Passed ice40_wrapcarry.ys -Warning: wire '\read_data' is assigned in a block at spram.v:19.3-19.25. -Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits. -Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits. -Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits. -Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits. -Passed ice40_dsp.ys -Passed bug1626.ys -Passed bug2061.ys -Passed shifter.ys -Passed ice40_opt.ys -Passed bug1597.ys -Passed mul.ys -Passed add_sub.ys -Passed counter.ys -Passed rom.ys -Passed logic.ys -Passed tribuf.ys -Passed bug1598.ys -Passed fsm.ys -Passed spram.ys -Passed dffs.ys -Passed latches.ys -Passed adffs.ys -Passed mux.ys -Passed macc.ys -Passed dpram.ys -Passed bug1644.ys -Passed memories.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/arch/ice40' -cd tests/arch/xilinx && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/arch/xilinx' -Passed bug3670.ys -Warning: Wire top.\t is used but has no driver. -Warning: Wire top.\in is used but has no driver. -Passed dsp_simd.ys -Passed bug1605.ys -Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 -Passed dsp_fastfir.ys -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. -Passed bug1480.ys -Warning: Shift register inference not yet supported for family xc3se. -Passed bug1462.ys -Passed bug1460.ys -Passed counter.ys -Passed logic.ys -Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. -Warning: Shift register inference not yet supported for family xc3s. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Passed opt_lut_ins.ys -Warning: Shift register inference not yet supported for family xc3se. -Passed bug1598.ys -Passed add_sub.ys -Passed fsm.ys -Passed xilinx_dsp.ys -Passed xilinx_srl.ys -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. -Passed shifter.ys -Warning: Resizing cell port priority_memory.mem.0.0.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.0.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.1.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.2.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.3.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.4.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.5.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.6.WEBWE from 4 bits to 8 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRARDADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.ADDRBWRADDR from 16 bits to 15 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINADIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINBDIN from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPADINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DINPBDINP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTADOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTBDOUT from 64 bits to 32 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPADOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.DOUTPBDOUTP from 8 bits to 4 bits. -Warning: Resizing cell port priority_memory.mem.0.7.WEBWE from 4 bits to 8 bits. -Passed tribuf.ys -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed xilinx_dffopt.ys -Passed macc.ys -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Passed mul.ys -Warning: Resizing cell port priority_memory.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port priority_memory.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed dsp_abc9.ys -Warning: Resizing cell port asym_ram_sdp_read_wider.RAM.0.0.WEBWE from 1 bits to 4 bits. -Passed nosrl.ys -Passed latches.ys -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. -/build/reproducible-path/yosys-0.33/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. -Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. -Passed pmgen_xilinx_srl.ys -Passed tribuf.sh -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port asym_ram_sdp_write_wider.RAM.0.0.WEA from 4 bits to 2 bits. -Warning: Selection "asym_ram_sdp_read_wider" did not match any module. -Passed adffs.ys -Passed mux_lut4.ys -Passed mul_unsigned.ys -Passed macc.sh -Passed dffs.ys -Passed mux.ys -Warning: Resizing cell port sp_write_first.mem.0.0.BWE_A from 8 bits to 9 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. -Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. -Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. -Passed attributes_test.ys -Passed asym_ram_sdp.ys -Warning: Resizing cell port sp_read_first.mem.0.0.BWE_B from 8 bits to 9 bits. -Passed priority_memory.ys -Warning: Shift register inference not yet supported for family xc3s. -Passed abc9_dff.ys -Passed lutram.ys -Passed blockram.ys -Passed dsp_cascade.ys -make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/tests/arch/xilinx' -cd tests/arch/ecp5 && bash run-test.sh "" -make[3]: Entering directory '/build/reproducible-path/yosys-0.33/tests/arch/ecp5' -Warning: Literal has a width of 16 bit, but value requires 184 bit. (<>>/,/<<>>/ {print $0}' -+ iverilog -o iverilog-initial_display initial_display.v -+ ./iverilog-initial_display -+ diff yosys-initial_display.log iverilog-initial_display.log -+ test_always_display clk -DEVENT_CLK -+ local subtest=clk -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:4$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$always_display.v:4$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 0de35d2746, CPU: user 0.01s system 0.01s, MEM: 9.06 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 37% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk-1.v -Parsing Verilog input from `yosys-always_display-clk-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk-1.v:18$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk-1.v:18$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-always_display-clk-1.v:18$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: e35e8bb689, CPU: user 0.01s system 0.00s, MEM: 8.99 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 36% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ diff yosys-always_display-clk-1.v yosys-always_display-clk-2.v -+ test_always_display clk_rst -DEVENT_CLK_RST -+ local subtest=clk_rst -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK_RST always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:7$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$always_display.v:7$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk_rst-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: c95608ddf0, CPU: user 0.01s system 0.00s, MEM: 9.07 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 36% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk_rst-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst-1.v -Parsing Verilog input from `yosys-always_display-clk_rst-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk_rst-1.v:18$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-always_display-clk_rst-1.v:18$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-clk_rst-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: faf50513c3, CPU: user 0.01s system 0.00s, MEM: 9.09 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 36% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -+ diff yosys-always_display-clk_rst-1.v yosys-always_display-clk_rst-2.v -+ test_always_display star -DEVENT_STAR -+ local subtest=star -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_STAR always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:10$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$always_display.v:10$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-star-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7b2c5274a5, CPU: user 0.01s system 0.00s, MEM: 9.05 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 38% 2x opt_expr (0 sec), 23% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-star-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-star-1.v -Parsing Verilog input from `yosys-always_display-star-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star-1.v:18$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-star-1.v:18$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-always_display-star-1.v:18$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-always_display-star-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 8979c5de0b, CPU: user 0.01s system 0.00s, MEM: 9.08 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 37% 2x opt_expr (0 sec), 22% 1x clean (0 sec), ... -+ diff yosys-always_display-star-1.v yosys-always_display-star-2.v -+ test_always_display clk_en -DEVENT_CLK -DCOND_EN -+ local subtest=clk_en -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:4$1'. - 1/1: $display$always_display.v:15$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:4$1'. -Removing empty process `m.$proc$always_display.v:4$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_en-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 4f8a3b339c, CPU: user 0.01s system 0.00s, MEM: 9.13 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_en-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk_en-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk_en-1.v -Parsing Verilog input from `yosys-always_display-clk_en-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. - 1/1: $write$yosys-always_display-clk_en-1.v:20$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_en-1.v:18$1'. -Removing empty process `m.$proc$yosys-always_display-clk_en-1.v:18$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_en-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 51e7fa3902, CPU: user 0.01s system 0.00s, MEM: 9.24 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -+ diff yosys-always_display-clk_en-1.v yosys-always_display-clk_en-2.v -+ test_always_display clk_rst_en -DEVENT_CLK_RST -DCOND_EN -+ local subtest=clk_rst_en -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_CLK_RST -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:7$1'. - 1/1: $display$always_display.v:15$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:7$1'. -Removing empty process `m.$proc$always_display.v:7$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_rst_en-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: f9b4876f33, CPU: user 0.00s system 0.01s, MEM: 9.04 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 40% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-clk_rst_en-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-clk_rst_en-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-clk_rst_en-1.v -Parsing Verilog input from `yosys-always_display-clk_rst_en-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. - 1/1: $write$yosys-always_display-clk_rst_en-1.v:20$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. -Removing empty process `m.$proc$yosys-always_display-clk_rst_en-1.v:18$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-clk_rst_en-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 0c4b4eaa9c, CPU: user 0.01s system 0.00s, MEM: 9.03 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 20% 1x clean (0 sec), ... -+ diff yosys-always_display-clk_rst_en-1.v yosys-always_display-clk_rst_en-2.v -+ test_always_display star_en -DEVENT_STAR -DCOND_EN -+ local subtest=star_en -+ shift -+ ../../yosys -p 'read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DEVENT_STAR -DCOND_EN always_display.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: always_display.v -Parsing Verilog input from `always_display.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$always_display.v:10$1'. - 1/1: $display$always_display.v:15$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$always_display.v:10$1'. -Removing empty process `m.$proc$always_display.v:10$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-star_en-1.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: d6a7335726, CPU: user 0.00s system 0.01s, MEM: 9.24 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 40% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -o yosys-always_display-star_en-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-always_display-star_en-1.v; proc; opt_expr -mux_bool; clean' -- - -1. Executing Verilog-2005 frontend: yosys-always_display-star_en-1.v -Parsing Verilog input from `yosys-always_display-star_en-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-always_display-star_en-1.v:18$1'. - 1/1: $write$yosys-always_display-star_en-1.v:20$2_EN - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-always_display-star_en-1.v:18$1'. -Removing empty process `m.$proc$yosys-always_display-star_en-1.v:18$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -3. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. - -Removed 0 unused cells and 3 unused wires. - --- Writing to `yosys-always_display-star_en-2.v' using backend `verilog' -- - -4. Executing Verilog backend. - -4.1. Executing BMUXMAP pass. - -4.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 18895a2046, CPU: user 0.01s system 0.00s, MEM: 9.05 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 39% 2x opt_expr (0 sec), 21% 1x clean (0 sec), ... -+ diff yosys-always_display-star_en-1.v yosys-always_display-star_en-2.v -+ test_roundtrip dec_unsigned -DBASE_DEC -DSIGN= -+ local subtest=dec_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-dec_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_DEC -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: bfb187b86d, CPU: user 0.01s system 0.00s, MEM: 8.93 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -o yosys-roundtrip-dec_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-dec_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-dec_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-dec_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 4be9539e85, CPU: user 0.01s system 0.00s, MEM: 9.05 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 27% 1x clean (0 sec), 20% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-dec_unsigned-1.v yosys-roundtrip-dec_unsigned-2.v -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-1 yosys-roundtrip-dec_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned-1 -+ iverilog -DBASE_DEC -DSIGN= -o iverilog-roundtrip-dec_unsigned-2 yosys-roundtrip-dec_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_unsigned-1 -+ diff iverilog-roundtrip-dec_unsigned.log iverilog-roundtrip-dec_unsigned-1.log -+ diff iverilog-roundtrip-dec_unsigned-1.log iverilog-roundtrip-dec_unsigned-2.log -+ test_roundtrip dec_signed -DBASE_DEC -DSIGN=signed -+ local subtest=dec_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-dec_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_DEC -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: bbdfa5ca92, CPU: user 0.00s system 0.00s, MEM: 9.00 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -o yosys-roundtrip-dec_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-dec_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-dec_signed-1.v -Parsing Verilog input from `yosys-roundtrip-dec_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-dec_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-dec_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: b233de92a6, CPU: user 0.01s system 0.00s, MEM: 9.04 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-dec_signed-1.v yosys-roundtrip-dec_signed-2.v -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-1 yosys-roundtrip-dec_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed-1 -+ iverilog -DBASE_DEC -DSIGN=signed -o iverilog-roundtrip-dec_signed-2 yosys-roundtrip-dec_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-dec_signed-1 -+ diff iverilog-roundtrip-dec_signed.log iverilog-roundtrip-dec_signed-1.log -+ diff iverilog-roundtrip-dec_signed-1.log iverilog-roundtrip-dec_signed-2.log -+ test_roundtrip hex_unsigned -DBASE_HEX -DSIGN= -+ local subtest=hex_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-hex_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 2377f2e106, CPU: user 0.00s system 0.01s, MEM: 8.91 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -o yosys-roundtrip-hex_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-hex_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-hex_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-hex_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 06bfea69c8, CPU: user 0.00s system 0.00s, MEM: 9.08 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-hex_unsigned-1.v yosys-roundtrip-hex_unsigned-2.v -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-1 yosys-roundtrip-hex_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-hex_unsigned-2 yosys-roundtrip-hex_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_unsigned-1 -+ diff iverilog-roundtrip-hex_unsigned.log iverilog-roundtrip-hex_unsigned-1.log -+ diff iverilog-roundtrip-hex_unsigned-1.log iverilog-roundtrip-hex_unsigned-2.log -+ test_roundtrip hex_signed -DBASE_HEX -DSIGN=signed -+ local subtest=hex_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-hex_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 824c3b1e65, CPU: user 0.00s system 0.00s, MEM: 9.22 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -o yosys-roundtrip-hex_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-hex_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-hex_signed-1.v -Parsing Verilog input from `yosys-roundtrip-hex_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-hex_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-hex_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: f18b3fa15b, CPU: user 0.01s system 0.00s, MEM: 9.07 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-hex_signed-1.v yosys-roundtrip-hex_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_signed -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-1 yosys-roundtrip-hex_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_signed-1 -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-hex_signed-2 yosys-roundtrip-hex_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-hex_signed-1 -+ diff iverilog-roundtrip-hex_signed.log iverilog-roundtrip-hex_signed-1.log -+ diff iverilog-roundtrip-hex_signed-1.log iverilog-roundtrip-hex_signed-2.log -+ test_roundtrip oct_unsigned -DBASE_HEX -DSIGN= -+ local subtest=oct_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-oct_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: b768358a65, CPU: user 0.01s system 0.00s, MEM: 9.06 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -o yosys-roundtrip-oct_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-oct_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-oct_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-oct_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 762621cd95, CPU: user 0.01s system 0.00s, MEM: 8.93 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-oct_unsigned-1.v yosys-roundtrip-oct_unsigned-2.v -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-1 yosys-roundtrip-oct_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-oct_unsigned-2 yosys-roundtrip-oct_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_unsigned-1 -+ diff iverilog-roundtrip-oct_unsigned.log iverilog-roundtrip-oct_unsigned-1.log -+ diff iverilog-roundtrip-oct_unsigned-1.log iverilog-roundtrip-oct_unsigned-2.log -+ test_roundtrip oct_signed -DBASE_HEX -DSIGN=signed -+ local subtest=oct_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-oct_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7ec82b15e3, CPU: user 0.00s system 0.01s, MEM: 8.93 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -o yosys-roundtrip-oct_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-oct_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-oct_signed-1.v -Parsing Verilog input from `yosys-roundtrip-oct_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-oct_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-oct_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: a747b9bd4f, CPU: user 0.01s system 0.00s, MEM: 8.93 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-oct_signed-1.v yosys-roundtrip-oct_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-1 yosys-roundtrip-oct_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed-1 -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-oct_signed-2 yosys-roundtrip-oct_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-oct_signed-1 -+ diff iverilog-roundtrip-oct_signed.log iverilog-roundtrip-oct_signed-1.log -+ diff iverilog-roundtrip-oct_signed-1.log iverilog-roundtrip-oct_signed-2.log -+ test_roundtrip bin_unsigned -DBASE_HEX -DSIGN= -+ local subtest=bin_unsigned -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -o yosys-roundtrip-bin_unsigned-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN= roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_unsigned-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 270b564880, CPU: user 0.01s system 0.00s, MEM: 8.99 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 1x clean (0 sec), 22% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -o yosys-roundtrip-bin_unsigned-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-bin_unsigned-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_unsigned-1.v -Parsing Verilog input from `yosys-roundtrip-bin_unsigned-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-bin_unsigned-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_unsigned-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: dc9f56cb10, CPU: user 0.01s system 0.00s, MEM: 9.03 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 27% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-bin_unsigned-1.v yosys-roundtrip-bin_unsigned-2.v -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-1 yosys-roundtrip-bin_unsigned-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned-1 -+ iverilog -DBASE_HEX -DSIGN= -o iverilog-roundtrip-bin_unsigned-2 yosys-roundtrip-bin_unsigned-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_unsigned-1 -+ diff iverilog-roundtrip-bin_unsigned.log iverilog-roundtrip-bin_unsigned-1.log -+ diff iverilog-roundtrip-bin_unsigned-1.log iverilog-roundtrip-bin_unsigned-2.log -+ test_roundtrip bin_signed -DBASE_HEX -DSIGN=signed -+ local subtest=bin_signed -+ shift -+ ../../yosys -p 'read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -o yosys-roundtrip-bin_signed-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog -DBASE_HEX -DSIGN=signed roundtrip.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: roundtrip.v -Parsing Verilog input from `roundtrip.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$roundtrip.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$roundtrip.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_signed-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7709253822, CPU: user 0.00s system 0.00s, MEM: 9.14 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ ../../yosys -p 'read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -o yosys-roundtrip-bin_signed-2.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog yosys-roundtrip-bin_signed-1.v; proc; clean' -- - -1. Executing Verilog-2005 frontend: yosys-roundtrip-bin_signed-1.v -Parsing Verilog input from `yosys-roundtrip-bin_signed-1.v' to AST representation. -Generating RTLIL representation for module `\m'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. -Cleaned up 1 empty switch. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `m.$proc$yosys-roundtrip-bin_signed-1.v:12$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module m. -Removed 0 unused cells and 1 unused wires. - --- Writing to `yosys-roundtrip-bin_signed-2.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\m'. - -End of script. Logfile hash: 7e2d8271c4, CPU: user 0.01s system 0.00s, MEM: 9.06 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 28% 1x clean (0 sec), 21% 1x opt_expr (0 sec), ... -+ diff yosys-roundtrip-bin_signed-1.v yosys-roundtrip-bin_signed-2.v -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed roundtrip.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_signed -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-1 yosys-roundtrip-bin_signed-1.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_signed-1 -+ iverilog -DBASE_HEX -DSIGN=signed -o iverilog-roundtrip-bin_signed-2 yosys-roundtrip-bin_signed-2.v roundtrip_tb.v -+ ./iverilog-roundtrip-bin_signed-1 -+ diff iverilog-roundtrip-bin_signed.log iverilog-roundtrip-bin_signed-1.log -+ diff iverilog-roundtrip-bin_signed-1.log iverilog-roundtrip-bin_signed-2.log -+ test_cxxrtl always_full -+ local subtest=always_full -+ shift -+ ../../yosys -p 'read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog always_full.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_full.cc' -- - -1. Executing Verilog-2005 frontend: always_full.v -Parsing Verilog input from `always_full.v' to AST representation. -Generating RTLIL representation for module `\always_full'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 207 redundant assignments. -Promoted 207 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\always_full.$proc$always_full.v:3$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `always_full.$proc$always_full.v:3$1'. -Cleaned up 0 empty switches. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. -Removed 0 unused cells and 207 unused wires. - -3. Executing CXXRTL backend. - -3.1. Executing HIERARCHY pass (managing design hierarchy). - -3.1.1. Finding top of design hierarchy.. -root of 0 design levels: always_full -Automatically selected always_full as design top module. - -3.1.2. Analyzing design hierarchy.. -Top module: \always_full - -3.1.3. Analyzing design hierarchy.. -Top module: \always_full -Removed 0 unused modules. - -3.2. Executing FLATTEN pass (flatten design). - -3.3. Executing PROC pass (convert processes to netlists). - -3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.3.4. Executing PROC_INIT pass (extract init attributes). - -3.3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - - - -End of script. Logfile hash: 6abd135c0a, CPU: user 0.03s system 0.01s, MEM: 8.57 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 24% 2x read_verilog (0 sec), 22% 2x opt_expr (0 sec), ... -+ gcc -std=c++11 -o yosys-always_full -I../.. always_full_tb.cc -lstdc++ -+ ./yosys-always_full -+ iverilog -o iverilog-always_full always_full.v always_full_tb.v -+ ./iverilog-always_full -+ grep -v '\$finish called' -+ diff iverilog-always_full.log yosys-always_full.log -+ test_cxxrtl always_comb -+ local subtest=always_comb -+ shift -+ ../../yosys -p 'read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog always_comb.v; proc; clean; write_cxxrtl -print-output std::cerr yosys-always_comb.cc' -- - -1. Executing Verilog-2005 frontend: always_comb.v -Parsing Verilog input from `always_comb.v' to AST representation. -Generating RTLIL representation for module `\top'. -Generating RTLIL representation for module `\sub'. -Successfully finished Verilog frontend. - -2. Executing PROC pass (convert processes to netlists). - -2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 4 assignments to connections. - -2.4. Executing PROC_INIT pass (extract init attributes). -Found init rule in `\top.$proc$always_comb.v:3$13'. - Set init value: \b = 1'0 -Found init rule in `\top.$proc$always_comb.v:2$12'. - Set init value: \a = 1'0 - -2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - - -2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\sub.$proc$always_comb.v:23$15'. - 1/1: $display$always_comb.v:23$19_EN -Creating decoders for process `\top.$proc$always_comb.v:3$13'. -Creating decoders for process `\top.$proc$always_comb.v:2$12'. -Creating decoders for process `\top.$proc$always_comb.v:8$1'. - -2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.9. Executing PROC_DFF pass (convert process syncs to FFs). -Creating register for signal `\top.\a' using process `\top.$proc$always_comb.v:8$1'. - created $dff cell `$procdff$22' with positive edge clock. -Creating register for signal `\top.\b' using process `\top.$proc$always_comb.v:8$1'. - created $dff cell `$procdff$23' with positive edge clock. - -2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Found and cleaned up 1 empty switch in `\sub.$proc$always_comb.v:23$15'. -Removing empty process `sub.$proc$always_comb.v:23$15'. -Removing empty process `top.$proc$always_comb.v:3$13'. -Removing empty process `top.$proc$always_comb.v:2$12'. -Removing empty process `top.$proc$always_comb.v:8$1'. -Cleaned up 1 empty switch. - -2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module sub. -Optimizing module top. -Removed 0 unused cells and 7 unused wires. - -3. Executing CXXRTL backend. - -3.1. Executing HIERARCHY pass (managing design hierarchy). - -3.1.1. Finding top of design hierarchy.. -root of 0 design levels: sub -root of 1 design levels: top -Automatically selected top as design top module. - -3.1.2. Analyzing design hierarchy.. -Top module: \top -Used module: \sub - -3.1.3. Analyzing design hierarchy.. -Top module: \top -Used module: \sub -Removed 0 unused modules. - -3.2. Executing FLATTEN pass (flatten design). -Deleting now unused module sub. - - -3.3. Executing PROC pass (convert processes to netlists). - -3.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -3.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 0 redundant assignments. -Promoted 0 assignments to connections. - -3.3.4. Executing PROC_INIT pass (extract init attributes). - -3.3.5. Executing PROC_ARST pass (detect async resets in processes). - -3.3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -3.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). - -3.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -3.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -3.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -3.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -3.3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module top. - - - -End of script. Logfile hash: 03fe26efda, CPU: user 0.01s system 0.00s, MEM: 9.18 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 29% 2x opt_expr (0 sec), 18% 1x clean (0 sec), ... -+ gcc -std=c++11 -o yosys-always_comb -I../.. always_comb_tb.cc -lstdc++ -+ ./yosys-always_comb -+ iverilog -o iverilog-always_comb always_comb.v always_comb_tb.v -+ ./iverilog-always_comb -+ grep -v '\$finish called' -+ diff iverilog-always_comb.log yosys-always_comb.log -+ ../../yosys -p 'read_verilog always_full.v; prep; clean' -o yosys-always_full-1.v - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog always_full.v; prep; clean' -- - -1. Executing Verilog-2005 frontend: always_full.v -Parsing Verilog input from `always_full.v' to AST representation. -Generating RTLIL representation for module `\always_full'. -Successfully finished Verilog frontend. - -2. Executing PREP pass. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.2. Executing PROC pass (convert processes to netlists). - -2.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Cleaned up 0 empty switches. - -2.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 207 redundant assignments. -Promoted 207 assignments to connections. - -2.2.4. Executing PROC_INIT pass (extract init attributes). - -2.2.5. Executing PROC_ARST pass (detect async resets in processes). - -2.2.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\always_full.$proc$always_full.v:3$1'. - -2.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.2.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `always_full.$proc$always_full.v:3$1'. -Cleaned up 0 empty switches. - -2.2.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.3. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.4. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. -Removed 0 unused cells and 207 unused wires. - - -2.5. Executing CHECK pass (checking for obvious problems). -Checking module always_full... -Found and reported 0 problems. - -2.6. Executing OPT pass (performing simple optimizations). - -2.6.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.6.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\always_full'. -Removed a total of 0 cells. - -2.6.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). -Running muxtree optimizer on module \always_full.. - Creating internal representation of mux trees. - No muxes found in this module. -Removed 0 multiplexer ports. - -2.6.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). - Optimizing cells in module \always_full. -Performed a total of 0 changes. - -2.6.5. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\always_full'. -Removed a total of 0 cells. - -2.6.6. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. - -2.6.7. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.6.8. Finished OPT passes. (There is nothing left to do.) - -2.7. Executing WREDUCE pass (reducing word size of cells). - -2.8. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. - -2.9. Executing MEMORY_COLLECT pass (generating $mem cells). - -2.10. Executing OPT pass (performing simple optimizations). - -2.10.1. Executing OPT_EXPR pass (perform const folding). -Optimizing module always_full. - -2.10.2. Executing OPT_MERGE pass (detect identical cells). -Finding identical cells in module `\always_full'. -Removed a total of 0 cells. - -2.10.3. Executing OPT_CLEAN pass (remove unused cells and wires). -Finding unused cells or wires in module \always_full.. - -2.10.4. Finished fast OPT passes. - -2.11. Printing statistics. - -=== always_full === - - Number of wires: 1 - Number of wire bits: 1 - Number of public wires: 1 - Number of public wire bits: 1 - Number of memories: 0 - Number of memory bits: 0 - Number of processes: 0 - Number of cells: 207 - $print 207 - -2.12. Executing CHECK pass (checking for obvious problems). -Checking module always_full... -Found and reported 0 problems. - --- Writing to `yosys-always_full-1.v' using backend `verilog' -- - -3. Executing Verilog backend. - -3.1. Executing BMUXMAP pass. - -3.2. Executing DEMUXMAP pass. -Dumping module `\always_full'. - -End of script. Logfile hash: cfd5b76053, CPU: user 0.08s system 0.00s, MEM: 8.37 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 20% 5x opt_expr (0 sec), 20% 4x opt_clean (0 sec), ... -+ iverilog -o iverilog-always_full-1 yosys-always_full-1.v always_full_tb.v -+ ./iverilog-always_full-1 -+ grep -v '\$finish called' -+ diff iverilog-always_full.log iverilog-always_full-1.log -+ ../../yosys -p 'read_verilog display_lm.v' -+ ../../yosys -p 'read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' - - /----------------------------------------------------------------------------\ - | | - | yosys -- Yosys Open SYnthesis Suite | - | | - | Copyright (C) 2012 - 2020 Claire Xenia Wolf | - | | - | Permission to use, copy, modify, and/or distribute this software for any | - | purpose with or without fee is hereby granted, provided that the above | - | copyright notice and this permission notice appear in all copies. | - | | - | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | - | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | - | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | - | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | - | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | - | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | - | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | - | | - \----------------------------------------------------------------------------/ - - Yosys 0.33 (git sha1 2584903a060) - - --- Running command `read_verilog display_lm.v; write_cxxrtl yosys-display_lm.cc' -- - -1. Executing Verilog-2005 frontend: display_lm.v -Parsing Verilog input from `display_lm.v' to AST representation. -Generating RTLIL representation for module `\top'. -Generating RTLIL representation for module `\mid'. -Generating RTLIL representation for module `\bot'. -%l: \bot -%m: \bot -Successfully finished Verilog frontend. - -2. Executing CXXRTL backend. - -2.1. Executing HIERARCHY pass (managing design hierarchy). - -2.1.1. Finding top of design hierarchy.. -root of 0 design levels: bot -root of 1 design levels: mid -root of 2 design levels: top -Automatically selected top as design top module. - -2.1.2. Analyzing design hierarchy.. -Top module: \top -Used module: \mid -Used module: \bot - -2.1.3. Analyzing design hierarchy.. -Top module: \top -Used module: \mid -Used module: \bot -Removed 0 unused modules. - -2.2. Executing FLATTEN pass (flatten design). -Deleting now unused module bot. -Deleting now unused module mid. - - -2.3. Executing PROC pass (convert processes to netlists). - -2.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:0$3'. -Cleaned up 0 empty switches. - -2.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). -Removed a total of 0 dead cases. - -2.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). -Removed 1 redundant assignment. -Promoted 1 assignment to connection. - -2.3.4. Executing PROC_INIT pass (extract init attributes). - -2.3.5. Executing PROC_ARST pass (detect async resets in processes). - -2.3.6. Executing PROC_ROM pass (convert switches to ROMs). -Converted 0 switches. - -2.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). -Creating decoders for process `\top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. - -2.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). - -2.3.9. Executing PROC_DFF pass (convert process syncs to FFs). - -2.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). - -2.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). -Removing empty process `top.$flatten\mid_uut.\bot_uut.$proc$display_lm.v:11$1'. -Cleaned up 0 empty switches. - -2.3.12. Executing OPT_EXPR pass (perform const folding). -Optimizing module top. - - - -End of script. Logfile hash: 1b689717a7, CPU: user 0.01s system 0.00s, MEM: 9.30 MB peak -Yosys 0.33 (git sha1 2584903a060) -Time spent: 35% 1x opt_expr (0 sec), 13% 2x read_verilog (0 sec), ... -+ gcc -std=c++11 -o yosys-display_lm_cc -I../.. display_lm_tb.cc -lstdc++ -+ ./yosys-display_lm_cc -+ for log in yosys-display_lm.log yosys-display_lm_cc.log -+ grep '^%l: \\bot$' yosys-display_lm.log -%l: \bot -+ grep '^%m: \\bot$' yosys-display_lm.log -%m: \bot -+ for log in yosys-display_lm.log yosys-display_lm_cc.log -+ grep '^%l: \\bot$' yosys-display_lm_cc.log -%l: \bot -+ grep '^%m: \\bot$' yosys-display_lm_cc.log -%m: \bot +pdfTeX warning: pdflatex (file ./sumprod_03.pdf): PDF inclusion: multiple pdfs +with page group included in a single page +> <./sumprod_04.pdf - Passed "make test". +pdfTeX warning: pdflatex (file ./sumprod_04.pdf): PDF inclusion: multiple pdfs +with page group included in a single page +> <./sumprod_05.pdf +pdfTeX warning: pdflatex (file ./sumprod_05.pdf): PDF inclusion: multiple pdfs +with page group included in a single page +>] (./select_prod.aux) ) +Output written on select_prod.pdf (1 page, 22495 bytes). +Transcript written on select_prod.log. +make[3]: Leaving directory '/build/reproducible-path/yosys-0.33/docs/images' +make[2]: *** [Makefile:971: docs/gen_images] Error 2 make[2]: Leaving directory '/build/reproducible-path/yosys-0.33' - rm -fr -- /tmp/dh-xdg-rundir-0RQGylmZ -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - create-stamp debian/debhelper-build-stamp - dh_prep - rm -f -- debian/yosys.substvars debian/yosys-dev.substvars debian/yosys-abc.substvars debian/yosys-doc.substvars - rm -fr -- debian/.debhelper/generated/yosys/ debian/yosys/ debian/tmp/ debian/.debhelper/generated/yosys-dev/ debian/yosys-dev/ debian/.debhelper/generated/yosys-abc/ debian/yosys-abc/ debian/.debhelper/generated/yosys-doc/ debian/yosys-doc/ - dh_auto_install - install -m0755 -d /build/reproducible-path/yosys-0.33/debian/tmp - make -j22 install DESTDIR=/build/reproducible-path/yosys-0.33/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -[Makefile.conf] CONFIG := gcc -[Makefile.conf] ABCPULL=0 -[Makefile.conf] STRIP=: -mkdir -p /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin -cp yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin -: -S /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin/yosys -: /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin/yosys-abc -: /build/reproducible-path/yosys-0.33/debian/tmp/usr/bin/yosys-filterlib -mkdir -p /build/reproducible-path/yosys-0.33/debian/tmp/usr/share/yosys -cp -r share/. /build/reproducible-path/yosys-0.33/debian/tmp/usr/share/yosys/. -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - rm -f debian/yosys-abc.debhelper.log debian/yosys-dev.debhelper.log debian/yosys.debhelper.log - debian/rules execute_after_dh_auto_install -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -chmod a-x debian/tmp/usr/share/yosys/achronix/speedster22i/cells*.v -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - dh_install - install -m0755 -d debian/yosys//usr/bin - cp --reflink=auto -a debian/tmp/usr/bin/yosys debian/tmp/usr/bin/yosys-filterlib debian/tmp/usr/bin/yosys-smtbmc debian/tmp/usr/bin/yosys-witness debian/yosys//usr/bin/ - install -m0755 -d debian/yosys//usr/share/yosys - cp --reflink=auto -a debian/tmp/usr/share/yosys/abc9_map.v debian/tmp/usr/share/yosys/abc9_model.v debian/tmp/usr/share/yosys/abc9_unmap.v debian/tmp/usr/share/yosys/achronix debian/tmp/usr/share/yosys/adff2dff.v debian/tmp/usr/share/yosys/anlogic/ debian/tmp/usr/share/yosys/cells.lib debian/tmp/usr/share/yosys/cmp2lcu.v debian/tmp/usr/share/yosys/cmp2lut.v debian/tmp/usr/share/yosys/coolrunner2 debian/tmp/usr/share/yosys/dff2ff.v debian/tmp/usr/share/yosys/ecp5 debian/tmp/usr/share/yosys/efinix debian/tmp/usr/share/yosys/fabulous debian/tmp/usr/share/yosys/gate2lut.v debian/tmp/usr/share/yosys/gatemate debian/tmp/usr/share/yosys/gowin debian/tmp/usr/share/yosys/greenpak4 debian/tmp/usr/share/yosys/ice40 debian/tmp/usr/share/yosys/intel debian/tmp/usr/share/yosys/intel_alm debian/tmp/usr/share/yosys/lattice debian/tmp/usr/share/yosys/mul2dsp.v debian/tmp/usr/share/yosys/nexus debian/tmp/usr/share/yosys/pmux2mux.v debian/tmp/usr/share/yosys/quicklogic debian/tmp/usr/share/yosys/sf2/ debian/tmp/usr/share/yosys/simcells.v debian/tmp/usr/share/yosys/simlib.v debian/tmp/usr/share/yosys/smtmap.v debian/tmp/usr/share/yosys/techmap.v debian/tmp/usr/share/yosys/xilinx debian/yosys//usr/share/yosys/ - install -m0755 -d debian/yosys/usr/share/yosys - cp --reflink=auto -a ./debian/tmp/usr/share/yosys/python3/smtio.py ./debian/tmp/usr/share/yosys/python3/ywio.py debian/yosys/usr/share/yosys/ - install -m0755 -d debian/yosys-dev//usr/bin - cp --reflink=auto -a debian/tmp/usr/bin/yosys-config debian/yosys-dev//usr/bin/ - install -m0755 -d debian/yosys-dev//usr/share/yosys/include - cp --reflink=auto -a debian/tmp/usr/share/yosys/include/backends debian/tmp/usr/share/yosys/include/frontends debian/tmp/usr/share/yosys/include/kernel debian/tmp/usr/share/yosys/include/libs debian/tmp/usr/share/yosys/include/passes debian/yosys-dev//usr/share/yosys/include/ - install -m0755 -d debian/yosys-abc//usr/bin - cp --reflink=auto -a debian/tmp/usr/bin/yosys-abc debian/yosys-abc//usr/bin/ - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys - cp --reflink=auto -a ./manual/presentation.pdf debian/yosys-doc/usr/share/doc/yosys/ - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys/ - cp --reflink=auto -a ./docs/build/latex/yosyshqyosys.pdf debian/yosys-doc/usr/share/doc/yosys// - dh_installdocs - install -m0755 -d debian/yosys/usr/share/doc/yosys - install -m0755 -d debian/yosys/usr/share/doc/yosys - cp --reflink=auto -a ./README.md debian/yosys/usr/share/doc/yosys - chmod -R u\+rw,go=rX debian/yosys/usr/share/doc - install -p -m0644 debian/copyright debian/yosys/usr/share/doc/yosys/copyright - install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev - install -p -m0644 debian/copyright debian/yosys-dev/usr/share/doc/yosys-dev/copyright - install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc - install -p -m0644 debian/copyright debian/yosys-abc/usr/share/doc/yosys-abc/copyright - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc - install -p -m0644 debian/copyright debian/yosys-doc/usr/share/doc/yosys-doc/copyright - install -m0755 -d debian/yosys-doc/usr/share/doc-base/ - install -p -m0644 debian/yosys-doc.doc-base debian/yosys-doc/usr/share/doc-base/yosys-doc.yosys-manual - dh_installchangelogs - install -m0755 -d debian/yosys/usr/share/doc/yosys - install -p -m0644 debian/.debhelper/generated/yosys/dh_installchangelogs.dch.trimmed debian/yosys/usr/share/doc/yosys/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys/usr/share/doc/yosys/changelog - install -m0755 -d debian/yosys-abc/usr/share/doc/yosys-abc - install -p -m0644 debian/.debhelper/generated/yosys-abc/dh_installchangelogs.dch.trimmed debian/yosys-abc/usr/share/doc/yosys-abc/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-abc/usr/share/doc/yosys-abc/changelog - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys-doc - install -p -m0644 debian/.debhelper/generated/yosys-doc/dh_installchangelogs.dch.trimmed debian/yosys-doc/usr/share/doc/yosys-doc/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-doc/usr/share/doc/yosys-doc/changelog - install -m0755 -d debian/yosys-dev/usr/share/doc/yosys-dev - install -p -m0644 debian/.debhelper/generated/yosys-dev/dh_installchangelogs.dch.trimmed debian/yosys-dev/usr/share/doc/yosys-dev/changelog.Debian - install -p -m0644 ./CHANGELOG debian/yosys-dev/usr/share/doc/yosys-dev/changelog - debian/rules execute_before_dh_installman -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -cd debian/man ; CHANGELOG_DATE="" ./genmanpages.sh +dh_auto_build: error: make -j10 "INSTALL=install --strip-program=true" docs DOC_TARGET=latexpdf returned exit code 2 +make[1]: *** [debian/rules:53: override_dh_auto_build-indep] Error 25 make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - dh_installman - install -m0755 -d debian/yosys-dev/usr/share/man/man1/ - install -p -m0644 ./debian/yosys-config.1 debian/yosys-dev/usr/share/man/man1/yosys-config.1 - install -m0755 -d debian/yosys-abc/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-abc.1 debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/yosys.1 debian/yosys/usr/share/man/man1/yosys.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/yosys-filterlib.1 debian/yosys/usr/share/man/man1/yosys-filterlib.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-smtbmc.1 debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - install -m0755 -d debian/yosys/usr/share/man/man1/ - install -p -m0644 ./debian/man/yosys-witness.1 debian/yosys/usr/share/man/man1/yosys-witness.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys/usr/share/man/man1/yosys.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 - man-recode --to-code UTF-8 --suffix .dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - mv debian/yosys/usr/share/man/man1/yosys-filterlib.1.dh-new debian/yosys/usr/share/man/man1/yosys-filterlib.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-filterlib.1 - mv debian/yosys-dev/usr/share/man/man1/yosys-config.1.dh-new debian/yosys-dev/usr/share/man/man1/yosys-config.1 - chmod 0644 -- debian/yosys-dev/usr/share/man/man1/yosys-config.1 - mv debian/yosys-abc/usr/share/man/man1/yosys-abc.1.dh-new debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - chmod 0644 -- debian/yosys-abc/usr/share/man/man1/yosys-abc.1 - mv debian/yosys/usr/share/man/man1/yosys-smtbmc.1.dh-new debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-smtbmc.1 - mv debian/yosys/usr/share/man/man1/yosys-witness.1.dh-new debian/yosys/usr/share/man/man1/yosys-witness.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys-witness.1 - mv debian/yosys/usr/share/man/man1/yosys.1.dh-new debian/yosys/usr/share/man/man1/yosys.1 - chmod 0644 -- debian/yosys/usr/share/man/man1/yosys.1 - dh_python3 -D: dh_python3 dh_python3:179: version: 6.20240422 -D: dh_python3 dh_python3:180: argv: ['/usr/bin/dh_python3'] -D: dh_python3 dh_python3:181: options: Namespace(guess_deps=True, skip_private=False, verbose=True, arch=None, package=None, no_package=None, remaining_packages=False, compile_all=False, vrange=None, regexpr=None, accept_upstream_versions=False, depends=None, depends_section=None, recommends=None, recommends_section=None, suggests=None, suggests_section=None, requires=None, shebang=None, ignore_shebangs=False, clean_dbg_pkg=True, no_ext_rename=False, no_shebang_rewrite=False, private_dir=None, O=None) -D: dh_python3 dh_python3:182: supported Python versions: 3.11,3.12 (default=3.11) -D: dh_python3 debhelper:166: skipping package yosys-abc (missing ${python3:Depends} in Depends/Recommends) -D: dh_python3 debhelper:166: skipping package yosys-doc (missing ${python3:Depends} in Depends/Recommends) -D: dh_python3 debhelper:174: source=yosys, binary packages=['yosys', 'yosys-dev'] -D: dh_python3 dh_python3:204: processing package yosys... -D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys-filterlib): cannot parse binary file -I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-smtbmc -D: dh_python3 tools:101: fix_shebang (debian/yosys/usr/bin/yosys): cannot parse binary file -I: dh_python3 tools:113: replacing shebang in debian/yosys/usr/bin/yosys-witness -D: dh_python3 fs:335: package yosys details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': {/usr/bin/python3, /usr/bin/python3}, 'public_vers': set(), 'private_dirs': {'/usr/share/yosys': {'compile': True}}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()} -D: dh_python3 depends:103: generating dependencies for package yosys -D: dh_python3 depends:253: D={'python3:any'}; R=[]; S=[]; E=[], B=[]; RT=[('/usr/share/yosys', '')] -D: dh_python3 dh_python3:204: processing package yosys-dev... -D: dh_python3 tools:101: fix_shebang (debian/yosys-dev/usr/bin/yosys-config): doesn't look like a shebang: #!/usr/bin/env bash -D: dh_python3 fs:335: package yosys-dev details = {'requires.txt': set(), 'egg-info': set(), 'dist-info': set(), 'nsp.txt': set(), 'shebangs': set(), 'public_vers': set(), 'private_dirs': {}, 'compile': False, 'ext_vers': set(), 'ext_no_version': set()} -D: dh_python3 depends:103: generating dependencies for package yosys-dev -D: dh_python3 depends:253: D=set(); R=[]; S=[]; E=[], B=[]; RT=[] - dh_perl - dh_link - install -m0755 -d debian/yosys-doc/usr/share/doc/yosys - rm -f debian/yosys-doc/usr/share/doc/yosys/manual.pdf - ln -s yosyshqyosys.pdf debian/yosys-doc/usr/share/doc/yosys/manual.pdf - dh_strip_nondeterminism - debian/rules override_dh_compress -make[1]: Entering directory '/build/reproducible-path/yosys-0.33' -dh_compress --exclude=.pdf - cd debian/yosys - cd debian/yosys-dev - cd debian/yosys-abc - cd debian/yosys-doc - chmod a-x usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - chmod a-x usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 - chmod a-x usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - chmod a-x usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian - gzip -9nf usr/share/doc/yosys-dev/changelog usr/share/doc/yosys-dev/changelog.Debian usr/share/man/man1/yosys-config.1 - gzip -9nf usr/share/doc/yosys/README.md usr/share/doc/yosys/changelog usr/share/doc/yosys/changelog.Debian usr/share/man/man1/yosys-filterlib.1 usr/share/man/man1/yosys-smtbmc.1 usr/share/man/man1/yosys-witness.1 usr/share/man/man1/yosys.1 - gzip -9nf usr/share/doc/yosys-abc/changelog usr/share/doc/yosys-abc/changelog.Debian usr/share/man/man1/yosys-abc.1 - gzip -9nf usr/share/doc/yosys-doc/changelog usr/share/doc/yosys-doc/changelog.Debian - cd '/build/reproducible-path/yosys-0.33' - cd '/build/reproducible-path/yosys-0.33' - cd '/build/reproducible-path/yosys-0.33' - cd '/build/reproducible-path/yosys-0.33' -make[1]: Leaving directory '/build/reproducible-path/yosys-0.33' - dh_fixperms - find debian/yosys ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-dev ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-abc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-doc ! -type l -a -true -a -true -print0 2>/dev/null | xargs -0r chmod go=rX,u+rw,a-s - find debian/yosys-abc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-abc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-doc/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-doc/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys-dev/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys/usr/share/doc -type f -a -true -a ! -regex 'debian/yosys/usr/share/doc/[^/]*/examples/.*' -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-abc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-doc/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-dev/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys/usr/share/doc -type d -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0755 - find debian/yosys-abc/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-doc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys/usr/share/man -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-abc -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-dev -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys -type f \( -name '*.so.*' -o -name '*.so' -o -name '*.la' -o -name '*.a' -o -name '*.js' -o -name '*.css' -o -name '*.scss' -o -name '*.sass' -o -name '*.jpeg' -o -name '*.jpg' -o -name '*.png' -o -name '*.gif' -o -name '*.cmxs' -o -name '*.node' \) -a -true -a -true -print0 2>/dev/null | xargs -0r chmod 0644 - find debian/yosys-abc/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - find debian/yosys-dev/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - find debian/yosys/usr/bin -type f -a -true -a -true -print0 2>/dev/null | xargs -0r chmod a+x - dh_missing - dh_dwz -a - dwz -- debian/yosys-abc/usr/bin/yosys-abc - install -m0755 -d debian/yosys/usr/lib/debug/.dwz/i386-linux-gnu - dwz -mdebian/yosys/usr/lib/debug/.dwz/i386-linux-gnu/yosys.debug -M/usr/lib/debug/.dwz/i386-linux-gnu/yosys.debug -- debian/yosys/usr/bin/yosys debian/yosys/usr/bin/yosys-filterlib -dwz: Too few files for multifile optimization -dh_dwz: warning: No dwz multifile created, but not explicitly requested either so ignoring it. -dh_dwz: warning: Common issues include no debug information at all (missing -g) and -dh_dwz: warning: compressed debug information (#931891). - rmdir -p --ignore-fail-on-non-empty debian/yosys/usr/lib/debug/.dwz/i386-linux-gnu - dh_strip -a - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/28 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys-filterlib debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/28/1810dfc381bc8f64e72d899a1ce501f238e4e9.debug - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/c2 - objcopy --only-keep-debug --compress-debug-sections debian/yosys-abc/usr/bin/yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/c2/680a59c97acc590347ddaae3f0bc3935cffa6a.debug - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/28/1810dfc381bc8f64e72d899a1ce501f238e4e9.debug - strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys-filterlib - objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/28/1810dfc381bc8f64e72d899a1ce501f238e4e9.debug debian/yosys/usr/bin/yosys-filterlib - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/71 - objcopy --only-keep-debug --compress-debug-sections debian/yosys/usr/bin/yosys debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/71/71d0fefbd3526ba8dd5dafaef99e938810304f.debug - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/c2/680a59c97acc590347ddaae3f0bc3935cffa6a.debug - strip --remove-section=.comment --remove-section=.note debian/yosys-abc/usr/bin/yosys-abc - objcopy --add-gnu-debuglink debian/.debhelper/yosys-abc/dbgsym-root/usr/lib/debug/.build-id/c2/680a59c97acc590347ddaae3f0bc3935cffa6a.debug debian/yosys-abc/usr/bin/yosys-abc - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/usr/share/doc - ln -s yosys-abc debian/.debhelper/yosys-abc/dbgsym-root/usr/share/doc/yosys-abc-dbgsym - install -m0755 -d debian/.debhelper/yosys-abc - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/71/71d0fefbd3526ba8dd5dafaef99e938810304f.debug - strip --remove-section=.comment --remove-section=.note debian/yosys/usr/bin/yosys - objcopy --add-gnu-debuglink debian/.debhelper/yosys/dbgsym-root/usr/lib/debug/.build-id/71/71d0fefbd3526ba8dd5dafaef99e938810304f.debug debian/yosys/usr/bin/yosys - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/usr/share/doc - ln -s yosys debian/.debhelper/yosys/dbgsym-root/usr/share/doc/yosys-dbgsym - install -m0755 -d debian/.debhelper/yosys - dh_makeshlibs -a - rm -f debian/yosys/DEBIAN/shlibs - rm -f debian/yosys-dev/DEBIAN/shlibs - rm -f debian/yosys-abc/DEBIAN/shlibs - dh_shlibdeps -a - install -m0755 -d debian/yosys-abc/DEBIAN - dpkg-shlibdeps -Tdebian/yosys-abc.substvars debian/yosys-abc/usr/bin/yosys-abc - install -m0755 -d debian/yosys/DEBIAN - dpkg-shlibdeps -Tdebian/yosys.substvars debian/yosys/usr/bin/yosys-filterlib debian/yosys/usr/bin/yosys -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 from: /lib/i386-linux-gnu/libreadline.so.8 -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 to: /lib/i386-linux-gnu/libreadline.so.8.usr-is-merged -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 from: /lib/i386-linux-gnu/libreadline.so.8 -dpkg-shlibdeps: warning: diversions involved - output may be incorrect - diversion by libreadline8t64 to: /lib/i386-linux-gnu/libreadline.so.8.usr-is-merged - dh_installdeb - install -m0755 -d debian/yosys/DEBIAN - printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/postinst - cat debian/yosys.postinst.debhelper >> debian/yosys/DEBIAN/postinst - chmod 0755 -- debian/yosys/DEBIAN/postinst - printf '#!/bin/sh\nset -e\n' > debian/yosys/DEBIAN/prerm - cat debian/yosys.prerm.debhelper >> debian/yosys/DEBIAN/prerm - chmod 0755 -- debian/yosys/DEBIAN/prerm - install -m0755 -d debian/yosys-dev/DEBIAN - install -m0755 -d debian/yosys-abc/DEBIAN - install -m0755 -d debian/yosys-doc/DEBIAN - dh_gencontrol - install -m0755 -d debian/yosys-dev/DEBIAN - echo misc:Depends= >> debian/yosys-dev.substvars - echo misc:Pre-Depends= >> debian/yosys-dev.substvars - dpkg-gencontrol -pyosys-dev -ldebian/changelog -Tdebian/yosys-dev.substvars -cdebian/control -Pdebian/yosys-dev - install -m0755 -d debian/yosys-abc/DEBIAN - echo misc:Depends= >> debian/yosys-abc.substvars - echo misc:Pre-Depends= >> debian/yosys-abc.substvars - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN - dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/.debhelper/yosys-abc/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-abc-dbgsym "-DDepends=yosys-abc (= \${binary:Version})" "-DDescription=debug symbols for yosys-abc" -DBuild-Ids=c2680a59c97acc590347ddaae3f0bc3935cffa6a -DSection=debug -UMulti-Arch -UReplaces -UBreaks - install -m0755 -d debian/yosys-doc/DEBIAN - echo misc:Depends= >> debian/yosys-doc.substvars - echo misc:Pre-Depends= >> debian/yosys-doc.substvars - dpkg-gencontrol -pyosys-doc -ldebian/changelog -Tdebian/yosys-doc.substvars -cdebian/control -Pdebian/yosys-doc - install -m0755 -d debian/yosys/DEBIAN - echo misc:Depends= >> debian/yosys.substvars - echo misc:Pre-Depends= >> debian/yosys.substvars - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN - dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/.debhelper/yosys/dbgsym-root -UPre-Depends -URecommends -USuggests -UEnhances -UProvides -UEssential -UConflicts -DPriority=optional -UHomepage -UImportant -DAuto-Built-Package=debug-symbols -UProtected -UBuilt-Using -UStatic-Built-Using -DPackage=yosys-dbgsym "-DDepends=yosys (= \${binary:Version})" "-DDescription=debug symbols for yosys" "-DBuild-Ids=281810dfc381bc8f64e72d899a1ce501f238e4e9 7171d0fefbd3526ba8dd5dafaef99e938810304f" -DSection=debug -UMulti-Arch -UReplaces -UBreaks -dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${shlibs:Depends} used, but is not defined -dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined - chmod 0644 -- debian/yosys-doc/DEBIAN/control - chmod 0644 -- debian/yosys-dev/DEBIAN/control - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pyosys-abc -ldebian/changelog -Tdebian/yosys-abc.substvars -cdebian/control -Pdebian/yosys-abc - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/control - dpkg-gencontrol -pyosys -ldebian/changelog -Tdebian/yosys.substvars -cdebian/control -Pdebian/yosys - chmod 0644 -- debian/yosys-abc/DEBIAN/control - chmod 0644 -- debian/yosys/DEBIAN/control - dh_md5sums - install -m0755 -d debian/yosys/DEBIAN - install -m0755 -d debian/yosys-dev/DEBIAN - install -m0755 -d debian/yosys-abc/DEBIAN - install -m0755 -d debian/yosys-doc/DEBIAN - cd debian/yosys-abc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/yosys-doc >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/yosys-dev >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - cd debian/yosys >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/yosys-dev/DEBIAN/md5sums - chmod 0644 -- debian/yosys-doc/DEBIAN/md5sums - chmod 0644 -- debian/yosys/DEBIAN/md5sums - install -m0755 -d debian/.debhelper/yosys/dbgsym-root/DEBIAN - cd debian/.debhelper/yosys/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/yosys-abc/DEBIAN/md5sums - install -m0755 -d debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN - cd debian/.debhelper/yosys-abc/dbgsym-root >/dev/null && xargs -r0 md5sum | perl -pe 'if (s@^\\@@) { s/\\\\/\\/g; }' > DEBIAN/md5sums - chmod 0644 -- debian/.debhelper/yosys-abc/dbgsym-root/DEBIAN/md5sums - chmod 0644 -- debian/.debhelper/yosys/dbgsym-root/DEBIAN/md5sums - dh_builddeb - dpkg-deb --root-owner-group --build debian/yosys .. - dpkg-deb --root-owner-group --build debian/.debhelper/yosys/dbgsym-root .. - dpkg-deb --root-owner-group --build debian/yosys-dev .. - dpkg-deb --root-owner-group --build debian/yosys-abc .. - dpkg-deb --root-owner-group --build debian/.debhelper/yosys-abc/dbgsym-root .. - dpkg-deb --root-owner-group --build debian/yosys-doc .. -dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.33-5_i386.deb'. -dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.33-5_i386.deb'. -dpkg-deb: building package 'yosys' in '../yosys_0.33-5_i386.deb'. -dpkg-deb: building package 'yosys-abc' in '../yosys-abc_0.33-5_i386.deb'. -dpkg-deb: building package 'yosys-abc-dbgsym' in '../yosys-abc-dbgsym_0.33-5_i386.deb'. -dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.33-5_all.deb'. - dpkg-genbuildinfo --build=binary -O../yosys_0.33-5_i386.buildinfo - dpkg-genchanges --build=binary -O../yosys_0.33-5_i386.changes -dpkg-genchanges: info: binary-only upload (no source code included) - dpkg-source --after-build . -dpkg-source: info: using options from yosys-0.33/debian/source/options: --extend-diff-ignore=(^|/)(config\.sub|config\.guess|install-sh|configure|depcomp|missing|aclocal\.m4|config\.h\.in)$ -dpkg-buildpackage: info: binary-only upload (no source included) -dpkg-genchanges: info: not including original source code in upload +make: *** [debian/rules:12: binary] Error 2 +dpkg-buildpackage: error: debian/rules binary subprocess returned exit status 2 I: copying local configuration +E: Failed autobuilding of package +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/C01_cleanup starting +debug output: disk usage on i-capture-the-hostname at Wed May 15 15:38:58 UTC 2024 +Filesystem Size Used Avail Use% Mounted on +tmpfs 3.9G 0 3.9G 0% /dev/shm + +I: user script /srv/workspace/pbuilder/29600/tmp/hooks/C01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/24632 and its subdirectories -I: Current time: Tue Jun 17 09:31:17 -12 2025 -I: pbuilder-time-stamp: 1750195878 +I: removing directory /srv/workspace/pbuilder/29600 and its subdirectories