Diff of the two buildlogs: -- --- b1/build.log 2021-12-18 07:17:59.811047293 +0000 +++ b2/build.log 2021-12-18 08:12:24.269120776 +0000 @@ -1,6 +1,6 @@ I: pbuilder: network access will be disabled during build -I: Current time: Fri Dec 17 18:48:13 -12 2021 -I: pbuilder-time-stamp: 1639810093 +I: Current time: Sat Jan 21 03:41:10 +14 2023 +I: pbuilder-time-stamp: 1674222070 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/buster-reproducible-base.tgz] I: copying local configuration @@ -17,7 +17,7 @@ I: Extracting source gpgv: unknown type of key resource 'trustedkeys.kbx' gpgv: keyblock resource '/root/.gnupg/trustedkeys.kbx': General error -gpgv: Signature made Wed Oct 17 04:45:54 2018 -12 +gpgv: Signature made Thu Oct 18 06:45:54 2018 +14 gpgv: using RSA key 3474C4096729ED0C51807D3CE69822C7E02958CD gpgv: issuer "ruben.undheim@gmail.com" gpgv: Can't check signature: No public key @@ -41,136 +41,170 @@ dpkg-source: info: applying 0013-Let-dpkg-buildpackage-handle-stripping-of-binaries.patch I: using fakeroot in build. I: Installing the build-deps -I: user script /srv/workspace/pbuilder/1299452/tmp/hooks/D02_print_environment starting +I: user script /srv/workspace/pbuilder/1296231/tmp/hooks/D01_modify_environment starting +debug: Running on ionos15-amd64. +I: Changing host+domainname to test build reproducibility +I: Adding a custom variable just for the fun of it... +I: Changing /bin/sh to bash +Removing 'diversion of /bin/sh to /bin/sh.distrib by dash' +Adding 'diversion of /bin/sh to /bin/sh.distrib by bash' +Removing 'diversion of /usr/share/man/man1/sh.1.gz to /usr/share/man/man1/sh.distrib.1.gz by dash' +Adding 'diversion of /usr/share/man/man1/sh.1.gz to /usr/share/man/man1/sh.distrib.1.gz by bash' +I: Setting pbuilder2's login shell to /bin/bash +I: Setting pbuilder2's GECOS to second user,second room,second work-phone,second home-phone,second other +I: user script /srv/workspace/pbuilder/1296231/tmp/hooks/D01_modify_environment finished +I: user script /srv/workspace/pbuilder/1296231/tmp/hooks/D02_print_environment starting I: set - BUILDDIR='/build' - BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' - BUILDUSERNAME='pbuilder1' - BUILD_ARCH='amd64' - DEBIAN_FRONTEND='noninteractive' - DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=15' - DISTRIBUTION='' - HOME='/root' - HOST_ARCH='amd64' + BASH=/bin/sh + BASHOPTS=checkwinsize:cmdhist:complete_fullquote:extquote:force_fignore:globasciiranges:hostcomplete:interactive_comments:progcomp:promptvars:sourcepath + BASH_ALIASES=() + BASH_ARGC=() + BASH_ARGV=() + BASH_CMDS=() + BASH_LINENO=([0]="12" [1]="0") + BASH_SOURCE=([0]="/tmp/hooks/D02_print_environment" [1]="/tmp/hooks/D02_print_environment") + BASH_VERSINFO=([0]="5" [1]="0" [2]="3" [3]="1" [4]="release" [5]="x86_64-pc-linux-gnu") + BASH_VERSION='5.0.3(1)-release' + BUILDDIR=/build + BUILDUSERGECOS='second user,second room,second work-phone,second home-phone,second other' + BUILDUSERNAME=pbuilder2 + BUILD_ARCH=amd64 + DEBIAN_FRONTEND=noninteractive + DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=16' + DIRSTACK=() + DISTRIBUTION= + EUID=0 + FUNCNAME=([0]="Echo" [1]="main") + GROUPS=() + HOME=/root + HOSTNAME=i-capture-the-hostname + HOSTTYPE=x86_64 + HOST_ARCH=amd64 IFS=' ' - INVOCATION_ID='22bc4b474617453f9d2ed9fd435ee147' - LANG='C' - LANGUAGE='en_US:en' - LC_ALL='C' - MAIL='/var/mail/root' - OPTIND='1' - PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' - PBCURRENTCOMMANDLINEOPERATION='build' - PBUILDER_OPERATION='build' - PBUILDER_PKGDATADIR='/usr/share/pbuilder' - PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' - PBUILDER_SYSCONFDIR='/etc' - PPID='1299452' - PS1='# ' - PS2='> ' + INVOCATION_ID=f268dcf3d76c4ab787a4ce99125cbea7 + LANG=C + LANGUAGE=et_EE:et + LC_ALL=C + MACHTYPE=x86_64-pc-linux-gnu + MAIL=/var/mail/root + OPTERR=1 + OPTIND=1 + OSTYPE=linux-gnu + PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path + PBCURRENTCOMMANDLINEOPERATION=build + PBUILDER_OPERATION=build + PBUILDER_PKGDATADIR=/usr/share/pbuilder + PBUILDER_PKGLIBDIR=/usr/lib/pbuilder + PBUILDER_SYSCONFDIR=/etc + PIPESTATUS=([0]="0") + POSIXLY_CORRECT=y + PPID=1296231 PS4='+ ' - PWD='/' - SHELL='/bin/bash' - SHLVL='2' - SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/tmp.rmWec8ynN1/pbuilderrc_qy1Q --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/buster-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/tmp.rmWec8ynN1/b1 --logfile b1/build.log yosys_0.8-1.dsc' - SUDO_GID='110' - SUDO_UID='105' - SUDO_USER='jenkins' - TERM='unknown' - TZ='/usr/share/zoneinfo/Etc/GMT+12' - USER='root' - _='/usr/bin/systemd-run' - http_proxy='http://78.137.99.97:3128' + PWD=/ + SHELL=/bin/bash + SHELLOPTS=braceexpand:errexit:hashall:interactive-comments:posix + SHLVL=3 + SUDO_COMMAND='/usr/bin/timeout -k 24.1h 24h /usr/bin/ionice -c 3 /usr/bin/nice -n 11 /usr/bin/unshare --uts -- /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/tmp.rmWec8ynN1/pbuilderrc_KXCU --hookdir /etc/pbuilder/rebuild-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/buster-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/tmp.rmWec8ynN1/b2 --logfile b2/build.log yosys_0.8-1.dsc' + SUDO_GID=111 + SUDO_UID=106 + SUDO_USER=jenkins + TERM=unknown + TZ=/usr/share/zoneinfo/Etc/GMT-14 + UID=0 + USER=root + _='I: set' + http_proxy=http://85.184.249.68:3128 I: uname -a - Linux ionos1-amd64 5.10.0-9-amd64 #1 SMP Debian 5.10.70-1 (2021-09-30) x86_64 GNU/Linux + Linux i-capture-the-hostname 5.14.0-0.bpo.2-amd64 #1 SMP Debian 5.14.9-2~bpo11+1 (2021-10-10) x86_64 GNU/Linux I: ls -l /bin total 5116 - -rwxr-xr-x 1 root root 1168776 Apr 17 2019 bash - -rwxr-xr-x 3 root root 38984 Jul 10 2019 bunzip2 - -rwxr-xr-x 3 root root 38984 Jul 10 2019 bzcat - lrwxrwxrwx 1 root root 6 Jul 10 2019 bzcmp -> bzdiff - -rwxr-xr-x 1 root root 2227 Jul 10 2019 bzdiff - lrwxrwxrwx 1 root root 6 Jul 10 2019 bzegrep -> bzgrep - -rwxr-xr-x 1 root root 4877 Jun 24 2019 bzexe - lrwxrwxrwx 1 root root 6 Jul 10 2019 bzfgrep -> bzgrep - -rwxr-xr-x 1 root root 3641 Jul 10 2019 bzgrep - -rwxr-xr-x 3 root root 38984 Jul 10 2019 bzip2 - -rwxr-xr-x 1 root root 14328 Jul 10 2019 bzip2recover - lrwxrwxrwx 1 root root 6 Jul 10 2019 bzless -> bzmore - -rwxr-xr-x 1 root root 1297 Jul 10 2019 bzmore - -rwxr-xr-x 1 root root 43744 Feb 28 2019 cat - -rwxr-xr-x 1 root root 64320 Feb 28 2019 chgrp - -rwxr-xr-x 1 root root 64288 Feb 28 2019 chmod - -rwxr-xr-x 1 root root 72512 Feb 28 2019 chown - -rwxr-xr-x 1 root root 146880 Feb 28 2019 cp - -rwxr-xr-x 1 root root 121464 Jan 17 2019 dash - -rwxr-xr-x 1 root root 109408 Feb 28 2019 date - -rwxr-xr-x 1 root root 76712 Feb 28 2019 dd - -rwxr-xr-x 1 root root 93744 Feb 28 2019 df - -rwxr-xr-x 1 root root 138856 Feb 28 2019 dir - -rwxr-xr-x 1 root root 84288 Jan 9 2019 dmesg - lrwxrwxrwx 1 root root 8 Sep 26 2018 dnsdomainname -> hostname - lrwxrwxrwx 1 root root 8 Sep 26 2018 domainname -> hostname - -rwxr-xr-x 1 root root 39520 Feb 28 2019 echo - -rwxr-xr-x 1 root root 28 Jan 7 2019 egrep - -rwxr-xr-x 1 root root 35424 Feb 28 2019 false - -rwxr-xr-x 1 root root 28 Jan 7 2019 fgrep - -rwxr-xr-x 1 root root 68880 Jan 9 2019 findmnt - -rwsr-xr-x 1 root root 34896 Apr 22 2020 fusermount - -rwxr-xr-x 1 root root 198976 Jan 7 2019 grep - -rwxr-xr-x 2 root root 2345 Jan 5 2019 gunzip - -rwxr-xr-x 1 root root 6375 Jan 5 2019 gzexe - -rwxr-xr-x 1 root root 98048 Jan 5 2019 gzip - -rwxr-xr-x 1 root root 26696 Sep 26 2018 hostname - -rwxr-xr-x 1 root root 68552 Feb 28 2019 ln - -rwxr-xr-x 1 root root 56760 Jul 26 2018 login - -rwxr-xr-x 1 root root 138856 Feb 28 2019 ls - -rwxr-xr-x 1 root root 108624 Jan 9 2019 lsblk - -rwxr-xr-x 1 root root 89088 Feb 28 2019 mkdir - -rwxr-xr-x 1 root root 68544 Feb 28 2019 mknod - -rwxr-xr-x 1 root root 43808 Feb 28 2019 mktemp - -rwxr-xr-x 1 root root 43008 Jan 9 2019 more - -rwsr-xr-x 1 root root 51280 Jan 9 2019 mount - -rwxr-xr-x 1 root root 14408 Jan 9 2019 mountpoint - -rwxr-xr-x 1 root root 138728 Feb 28 2019 mv - lrwxrwxrwx 1 root root 8 Sep 26 2018 nisdomainname -> hostname - lrwxrwxrwx 1 root root 14 Feb 14 2019 pidof -> /sbin/killall5 - -rwxr-xr-x 1 root root 39616 Feb 28 2019 pwd - lrwxrwxrwx 1 root root 4 Apr 17 2019 rbash -> bash - -rwxr-xr-x 1 root root 47776 Feb 28 2019 readlink - -rwxr-xr-x 1 root root 68416 Feb 28 2019 rm - -rwxr-xr-x 1 root root 47776 Feb 28 2019 rmdir - -rwxr-xr-x 1 root root 23312 Jan 21 2019 run-parts - -rwxr-xr-x 1 root root 122224 Dec 22 2018 sed - lrwxrwxrwx 1 root root 4 Nov 7 09:58 sh -> dash - -rwxr-xr-x 1 root root 39552 Feb 28 2019 sleep - -rwxr-xr-x 1 root root 80672 Feb 28 2019 stty - -rwsr-xr-x 1 root root 63568 Jan 9 2019 su - -rwxr-xr-x 1 root root 35488 Feb 28 2019 sync - -rwxr-xr-x 1 root root 445560 Apr 23 2019 tar - -rwxr-xr-x 1 root root 14440 Jan 21 2019 tempfile - -rwxr-xr-x 1 root root 97152 Feb 28 2019 touch - -rwxr-xr-x 1 root root 35424 Feb 28 2019 true - -rwxr-xr-x 1 root root 14328 Apr 22 2020 ulockmgr_server - -rwsr-xr-x 1 root root 34888 Jan 9 2019 umount - -rwxr-xr-x 1 root root 39584 Feb 28 2019 uname - -rwxr-xr-x 2 root root 2345 Jan 5 2019 uncompress - -rwxr-xr-x 1 root root 138856 Feb 28 2019 vdir - -rwxr-xr-x 1 root root 34896 Jan 9 2019 wdctl - -rwxr-xr-x 1 root root 946 Jan 21 2019 which - lrwxrwxrwx 1 root root 8 Sep 26 2018 ypdomainname -> hostname - -rwxr-xr-x 1 root root 1983 Jan 5 2019 zcat - -rwxr-xr-x 1 root root 1677 Jan 5 2019 zcmp - -rwxr-xr-x 1 root root 5879 Jan 5 2019 zdiff - -rwxr-xr-x 1 root root 29 Jan 5 2019 zegrep - -rwxr-xr-x 1 root root 29 Jan 5 2019 zfgrep - -rwxr-xr-x 1 root root 2080 Jan 5 2019 zforce - -rwxr-xr-x 1 root root 7584 Jan 5 2019 zgrep - -rwxr-xr-x 1 root root 2205 Jan 5 2019 zless - -rwxr-xr-x 1 root root 1841 Jan 5 2019 zmore - -rwxr-xr-x 1 root root 4552 Jan 5 2019 znew -I: user script /srv/workspace/pbuilder/1299452/tmp/hooks/D02_print_environment finished + -rwxr-xr-x 1 root root 1168776 Apr 18 2019 bash + -rwxr-xr-x 3 root root 38984 Jul 11 2019 bunzip2 + -rwxr-xr-x 3 root root 38984 Jul 11 2019 bzcat + lrwxrwxrwx 1 root root 6 Jul 11 2019 bzcmp -> bzdiff + -rwxr-xr-x 1 root root 2227 Jul 11 2019 bzdiff + lrwxrwxrwx 1 root root 6 Jul 11 2019 bzegrep -> bzgrep + -rwxr-xr-x 1 root root 4877 Jun 25 2019 bzexe + lrwxrwxrwx 1 root root 6 Jul 11 2019 bzfgrep -> bzgrep + -rwxr-xr-x 1 root root 3641 Jul 11 2019 bzgrep + -rwxr-xr-x 3 root root 38984 Jul 11 2019 bzip2 + -rwxr-xr-x 1 root root 14328 Jul 11 2019 bzip2recover + lrwxrwxrwx 1 root root 6 Jul 11 2019 bzless -> bzmore + -rwxr-xr-x 1 root root 1297 Jul 11 2019 bzmore + -rwxr-xr-x 1 root root 43744 Mar 1 2019 cat + -rwxr-xr-x 1 root root 64320 Mar 1 2019 chgrp + -rwxr-xr-x 1 root root 64288 Mar 1 2019 chmod + -rwxr-xr-x 1 root root 72512 Mar 1 2019 chown + -rwxr-xr-x 1 root root 146880 Mar 1 2019 cp + -rwxr-xr-x 1 root root 121464 Jan 18 2019 dash + -rwxr-xr-x 1 root root 109408 Mar 1 2019 date + -rwxr-xr-x 1 root root 76712 Mar 1 2019 dd + -rwxr-xr-x 1 root root 93744 Mar 1 2019 df + -rwxr-xr-x 1 root root 138856 Mar 1 2019 dir + -rwxr-xr-x 1 root root 84288 Jan 10 2019 dmesg + lrwxrwxrwx 1 root root 8 Sep 27 2018 dnsdomainname -> hostname + lrwxrwxrwx 1 root root 8 Sep 27 2018 domainname -> hostname + -rwxr-xr-x 1 root root 39520 Mar 1 2019 echo + -rwxr-xr-x 1 root root 28 Jan 8 2019 egrep + -rwxr-xr-x 1 root root 35424 Mar 1 2019 false + -rwxr-xr-x 1 root root 28 Jan 8 2019 fgrep + -rwxr-xr-x 1 root root 68880 Jan 10 2019 findmnt + -rwsr-xr-x 1 root root 34896 Apr 23 2020 fusermount + -rwxr-xr-x 1 root root 198976 Jan 8 2019 grep + -rwxr-xr-x 2 root root 2345 Jan 6 2019 gunzip + -rwxr-xr-x 1 root root 6375 Jan 6 2019 gzexe + -rwxr-xr-x 1 root root 98048 Jan 6 2019 gzip + -rwxr-xr-x 1 root root 26696 Sep 27 2018 hostname + -rwxr-xr-x 1 root root 68552 Mar 1 2019 ln + -rwxr-xr-x 1 root root 56760 Jul 27 2018 login + -rwxr-xr-x 1 root root 138856 Mar 1 2019 ls + -rwxr-xr-x 1 root root 108624 Jan 10 2019 lsblk + -rwxr-xr-x 1 root root 89088 Mar 1 2019 mkdir + -rwxr-xr-x 1 root root 68544 Mar 1 2019 mknod + -rwxr-xr-x 1 root root 43808 Mar 1 2019 mktemp + -rwxr-xr-x 1 root root 43008 Jan 10 2019 more + -rwsr-xr-x 1 root root 51280 Jan 10 2019 mount + -rwxr-xr-x 1 root root 14408 Jan 10 2019 mountpoint + -rwxr-xr-x 1 root root 138728 Mar 1 2019 mv + lrwxrwxrwx 1 root root 8 Sep 27 2018 nisdomainname -> hostname + lrwxrwxrwx 1 root root 14 Feb 15 2019 pidof -> /sbin/killall5 + -rwxr-xr-x 1 root root 39616 Mar 1 2019 pwd + lrwxrwxrwx 1 root root 4 Apr 18 2019 rbash -> bash + -rwxr-xr-x 1 root root 47776 Mar 1 2019 readlink + -rwxr-xr-x 1 root root 68416 Mar 1 2019 rm + -rwxr-xr-x 1 root root 47776 Mar 1 2019 rmdir + -rwxr-xr-x 1 root root 23312 Jan 22 2019 run-parts + -rwxr-xr-x 1 root root 122224 Dec 23 2018 sed + lrwxrwxrwx 1 root root 4 Jan 21 03:41 sh -> bash + lrwxrwxrwx 1 root root 4 Dec 11 18:20 sh.distrib -> dash + -rwxr-xr-x 1 root root 39552 Mar 1 2019 sleep + -rwxr-xr-x 1 root root 80672 Mar 1 2019 stty + -rwsr-xr-x 1 root root 63568 Jan 10 2019 su + -rwxr-xr-x 1 root root 35488 Mar 1 2019 sync + -rwxr-xr-x 1 root root 445560 Apr 24 2019 tar + -rwxr-xr-x 1 root root 14440 Jan 22 2019 tempfile + -rwxr-xr-x 1 root root 97152 Mar 1 2019 touch + -rwxr-xr-x 1 root root 35424 Mar 1 2019 true + -rwxr-xr-x 1 root root 14328 Apr 23 2020 ulockmgr_server + -rwsr-xr-x 1 root root 34888 Jan 10 2019 umount + -rwxr-xr-x 1 root root 39584 Mar 1 2019 uname + -rwxr-xr-x 2 root root 2345 Jan 6 2019 uncompress + -rwxr-xr-x 1 root root 138856 Mar 1 2019 vdir + -rwxr-xr-x 1 root root 34896 Jan 10 2019 wdctl + -rwxr-xr-x 1 root root 946 Jan 22 2019 which + lrwxrwxrwx 1 root root 8 Sep 27 2018 ypdomainname -> hostname + -rwxr-xr-x 1 root root 1983 Jan 6 2019 zcat + -rwxr-xr-x 1 root root 1677 Jan 6 2019 zcmp + -rwxr-xr-x 1 root root 5879 Jan 6 2019 zdiff + -rwxr-xr-x 1 root root 29 Jan 6 2019 zegrep + -rwxr-xr-x 1 root root 29 Jan 6 2019 zfgrep + -rwxr-xr-x 1 root root 2080 Jan 6 2019 zforce + -rwxr-xr-x 1 root root 7584 Jan 6 2019 zgrep + -rwxr-xr-x 1 root root 2205 Jan 6 2019 zless + -rwxr-xr-x 1 root root 1841 Jan 6 2019 zmore + -rwxr-xr-x 1 root root 4552 Jan 6 2019 znew +I: user script /srv/workspace/pbuilder/1296231/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy @@ -441,7 +475,7 @@ Get: 185 http://deb.debian.org/debian buster/main amd64 texlive-publishers all 2018.20190227-2 [13.2 MB] Get: 186 http://deb.debian.org/debian buster/main amd64 texlive-science all 2018.20190227-2 [3168 kB] Get: 187 http://deb.debian.org/debian buster/main amd64 txt2man all 1.6.0-5 [29.7 kB] -Fetched 748 MB in 14s (52.3 MB/s) +Fetched 748 MB in 33s (23.0 MB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package libbsd0:amd64. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19195 files and directories currently installed.) @@ -1237,7 +1271,8 @@ fakeroot is already the newest version (1.23-1). 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: Building the package -I: Running cd /build/yosys-0.8/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.8-1_source.changes +hostname: Name or service not known +I: Running cd /build/yosys-0.8/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games:/i/capture/the/path" HOME="/nonexistent/second-build" dpkg-genchanges -S > ../yosys_0.8-1_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.8-1 dpkg-buildpackage: info: source distribution unstable @@ -1249,7 +1284,7 @@ debian/rules override_dh_auto_clean make[1]: Entering directory '/build/yosys-0.8' dh_auto_clean - make -j15 clean + make -j16 clean make[2]: Entering directory '/build/yosys-0.8' rm -rf share if test -d manual; then cd manual && sh clean.sh; fi @@ -1344,12 +1379,12 @@ debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/yosys-0.8' dh_auto_build --parallel -- all - make -j15 "INSTALL=install --strip-program=true" all + make -j16 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/yosys-0.8' [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc -mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.8 (git sha1 5706e90)\"; }" > kernel/version_5706e90.cc +mkdir -p kernel/ gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new @@ -1358,30 +1393,30 @@ mkdir -p kernel/ gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ -mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc +mkdir -p kernel/ gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ -gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc -mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ +gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ -mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc +mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p libs/bigint/ +mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ -mkdir -p libs/subcircuit/ gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp +mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc @@ -1393,13 +1428,6 @@ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc -mkdir -p libs/minisat/ -gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc -mkdir -p frontends/ilang/ -bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y -frontends/ilang/ilang_parser.y:46.1-38: warning: deprecated directive, use '%define api.prefix {rtlil_frontend_ilang_yy}' [-Wdeprecated] - %name-prefix "rtlil_frontend_ilang_yy" - ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': @@ -1415,13 +1443,17 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here class vec { ^~~ +mkdir -p libs/minisat/ +gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc +mkdir -p frontends/ilang/ +bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ +frontends/ilang/ilang_parser.y:46.1-38: warning: deprecated directive, use '%define api.prefix {rtlil_frontend_ilang_yy}' [-Wdeprecated] + %name-prefix "rtlil_frontend_ilang_yy" + ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ gcc -o frontends/ilang/ilang_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc -frontends/ilang/ilang_parser.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] -mkdir -p frontends/verific/ -gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': @@ -1437,27 +1469,30 @@ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here class vec { ^~~ +mkdir -p frontends/verific/ +gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc +frontends/ilang/ilang_parser.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y frontends/verilog/verilog_parser.y:95.1-34: warning: deprecated directive, use '%define api.prefix {frontend_verilog_yy}' [-Wdeprecated] %name-prefix "frontend_verilog_yy" ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +frontends/verilog/verilog_parser.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ -gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc -frontends/verilog/verilog_parser.y: warning: fix-its can be applied. Rerun with option '--update'. [-Wother] mkdir -p frontends/verilog/ +gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc -frontends/verific/verific.cc:1679:6: warning: 'bool {anonymous}::check_noverific_env()' defined but not used [-Wunused-function] - bool check_noverific_env() - ^~~~~~~~~~~~~~~~~~~ mkdir -p frontends/verilog/ -mkdir -p frontends/ast/ gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc +mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc +frontends/verific/verific.cc:1679:6: warning: 'bool {anonymous}::check_noverific_env()' defined but not used [-Wunused-function] + bool check_noverific_env() + ^~~~~~~~~~~~~~~~~~~ mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ @@ -1722,6 +1757,10 @@ gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc +mkdir -p backends/smt2/ +gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc +mkdir -p backends/table/ +gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc backends/firrtl/firrtl.cc: In member function 'void {anonymous}::FirrtlWorker::process_instance(Yosys::RTLIL::Cell*, std::vector >&)': backends/firrtl/firrtl.cc:197:18: warning: this statement may fall through [-Wimplicit-fallthrough=] log_warning("Instance port connection %s.%s is INOUT; treating as OUT\n", log_id(cell_type), log_signal(it->second)); @@ -1735,10 +1774,6 @@ backends/firrtl/firrtl.cc:205:6: note: here case FD_IN: ^~~~ -mkdir -p backends/smt2/ -gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc -mkdir -p backends/table/ -gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/intersynth/ @@ -1835,20 +1870,20 @@ mkdir -p share/include/backends/ilang/ cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h mkdir -p share/python3 -cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/xilinx +cp "./"/backends/smt2/smtio.py share/python3/smtio.py cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v mkdir -p share/xilinx -mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v +mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v @@ -1866,7 +1901,6 @@ cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v -touch techlibs/xilinx/brams_init.mk mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 @@ -1877,8 +1911,10 @@ cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p techlibs/ice40 python3 techlibs/ice40/brams_init.py +touch techlibs/xilinx/brams_init.mk mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v +touch techlibs/ice40/brams_init.mk mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common @@ -1891,14 +1927,13 @@ cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v -touch techlibs/ice40/brams_init.mk mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive -cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 +cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v @@ -1911,12 +1946,12 @@ mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/ecp5 -mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v -cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 +cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v +mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v @@ -1939,30 +1974,30 @@ mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 -mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v -cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 +mkdir -p share/greenpak4 +cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/achronix/speedster22i/ -mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v +mkdir -p share/achronix/speedster22i/ mkdir -p share cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share -mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v -cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share -cp "./"/techlibs/common/adff2dff.v share/adff2dff.v +cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share mkdir -p share +cp "./"/techlibs/common/adff2dff.v share/adff2dff.v cp "./"/techlibs/common/dff2ff.v share/dff2ff.v -cp "./"/techlibs/common/cells.lib share/cells.lib +mkdir -p share mkdir -p kernel/ +cp "./"/techlibs/common/cells.lib share/cells.lib gcc -o kernel/version_5706e90.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_5706e90.cc mkdir -p kernel/ gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.8=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc @@ -2002,16 +2037,16 @@ make[1]: Entering directory '/build/yosys-0.8' sed -i 's/REPLACEWITHDATE/October 17, 2018/' manual/presentation.tex PDF_DATE=D:20181017163613Z dh_auto_build --parallel -- all manual - make -j15 "INSTALL=install --strip-program=true" all manual + make -j16 "INSTALL=install --strip-program=true" all manual make[2]: Entering directory '/build/yosys-0.8' [Makefile.conf] CONFIG := gcc -cd manual && PDF_DATE=D:20181017163613Z bash appnotes.sh + Build successful. + +cd manual && PDF_DATE=D:20181017163613Z bash appnotes.sh + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' - Build successful. - ++ '[' -f APPNOTE_010_Verilog_to_BLIF.aux ']' ++ true + old_md5= @@ -4961,7 +4996,7 @@ Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' End of script. Logfile hash: 3a8e2bfd0d -CPU: user 0.08s system 0.01s, MEM: 19.15 MB total, 10.01 MB resident +CPU: user 0.09s system 0.01s, MEM: 19.14 MB total, 10.07 MB resident Yosys 0.8 (git sha1 5706e90) Time spent: 21% 4x read_verilog (0 sec), 13% 9x opt_clean (0 sec), ... make[3]: Leaving directory '/build/yosys-0.8/manual/PRESENTATION_Intro' @@ -5046,9 +5081,9 @@ Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' End of script. Logfile hash: ee303b4063 -CPU: user 0.00s system 0.01s, MEM: 18.00 MB total, 8.62 MB resident +CPU: user 0.00s system 0.01s, MEM: 18.00 MB total, 8.59 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 31% 1x show (0 sec), 24% 1x clean (0 sec), ... +Time spent: 30% 1x show (0 sec), 20% 2x read_verilog (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' /----------------------------------------------------------------------------\ @@ -5128,9 +5163,9 @@ Warnings: 1 unique messages, 1 total End of script. Logfile hash: 3f6df400d3 -CPU: user 0.00s system 0.01s, MEM: 18.00 MB total, 8.73 MB resident +CPU: user 0.00s system 0.01s, MEM: 18.01 MB total, 8.54 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 35% 1x show (0 sec), 25% 1x clean (0 sec), ... +Time spent: 33% 1x show (0 sec), 20% 1x clean (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ @@ -5207,9 +5242,9 @@ Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' End of script. Logfile hash: 067274c34b -CPU: user 0.00s system 0.01s, MEM: 18.00 MB total, 8.73 MB resident +CPU: user 0.01s system 0.00s, MEM: 18.00 MB total, 8.34 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 30% 1x show (0 sec), 26% 1x clean (0 sec), ... +Time spent: 31% 1x show (0 sec), 21% 1x clean (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' /----------------------------------------------------------------------------\ @@ -5321,9 +5356,9 @@ Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' End of script. Logfile hash: c5c67e3040 -CPU: user 0.01s system 0.00s, MEM: 17.99 MB total, 8.77 MB resident +CPU: user 0.00s system 0.01s, MEM: 17.99 MB total, 8.80 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 29% 3x opt_expr (0 sec), 19% 3x opt_merge (0 sec), ... +Time spent: 26% 3x opt_expr (0 sec), 20% 3x opt_merge (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ @@ -5408,9 +5443,9 @@ Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' End of script. Logfile hash: 3a67810dfe -CPU: user 0.00s system 0.01s, MEM: 18.00 MB total, 8.87 MB resident +CPU: user 0.00s system 0.01s, MEM: 18.00 MB total, 8.61 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 30% 2x opt_expr (0 sec), 18% 2x opt_merge (0 sec), ... +Time spent: 29% 2x opt_expr (0 sec), 18% 1x show (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' /----------------------------------------------------------------------------\ @@ -5496,9 +5531,9 @@ Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' End of script. Logfile hash: 1d5aa542b8 -CPU: user 0.01s system 0.00s, MEM: 18.01 MB total, 8.74 MB resident +CPU: user 0.01s system 0.00s, MEM: 18.01 MB total, 8.50 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 25% 2x opt_expr (0 sec), 18% 1x opt_clean (0 sec), ... +Time spent: 25% 2x opt_expr (0 sec), 17% 1x show (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ @@ -5653,9 +5688,9 @@ Warnings: 4 unique messages, 4 total End of script. Logfile hash: 22f9ac9c39 -CPU: user 0.02s system 0.00s, MEM: 18.02 MB total, 8.42 MB resident +CPU: user 0.02s system 0.00s, MEM: 18.02 MB total, 8.88 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 20% 3x opt_expr (0 sec), 18% 2x opt_clean (0 sec), ... +Time spent: 20% 3x opt_expr (0 sec), 17% 3x opt_merge (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' /----------------------------------------------------------------------------\ @@ -5842,9 +5877,9 @@ Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' End of script. Logfile hash: 0e54316c35 -CPU: user 0.02s system 0.00s, MEM: 18.02 MB total, 8.85 MB resident +CPU: user 0.03s system 0.00s, MEM: 18.02 MB total, 8.50 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 22% 4x opt_clean (0 sec), 17% 3x opt_expr (0 sec), ... +Time spent: 22% 4x opt_clean (0 sec), 19% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ @@ -6071,9 +6106,9 @@ Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' End of script. Logfile hash: ec4746bb24 -CPU: user 0.02s system 0.01s, MEM: 18.10 MB total, 8.93 MB resident +CPU: user 0.03s system 0.00s, MEM: 18.10 MB total, 8.82 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 24% 4x opt_clean (0 sec), 14% 3x opt_expr (0 sec), ... +Time spent: 22% 4x opt_clean (0 sec), 13% 3x opt_expr (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ @@ -6172,9 +6207,9 @@ Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' End of script. Logfile hash: 75ac20ff0b -CPU: user 0.01s system 0.00s, MEM: 18.14 MB total, 9.13 MB resident +CPU: user 0.01s system 0.00s, MEM: 18.14 MB total, 8.84 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 39% 1x techmap (0 sec), 19% 1x clean (0 sec), ... +Time spent: 34% 1x techmap (0 sec), 21% 1x clean (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' /----------------------------------------------------------------------------\ @@ -6381,9 +6416,9 @@ Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' End of script. Logfile hash: 46ca4260bf -CPU: user 0.04s system 0.00s, MEM: 18.93 MB total, 9.81 MB resident +CPU: user 0.04s system 0.01s, MEM: 18.93 MB total, 10.00 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 52% 5x read_verilog (0 sec), 10% 1x abc (0 sec), ... +Time spent: 53% 5x read_verilog (0 sec), 11% 1x abc (0 sec), ... make[3]: Leaving directory '/build/yosys-0.8/manual/PRESENTATION_ExSyn' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20181017163613Z)#' PRESENTATION_ExSyn/abc_01.pdf PRESENTATION_ExSyn/memory_01.pdf PRESENTATION_ExSyn/memory_02.pdf PRESENTATION_ExSyn/opt_01.pdf PRESENTATION_ExSyn/opt_02.pdf PRESENTATION_ExSyn/opt_03.pdf PRESENTATION_ExSyn/opt_04.pdf PRESENTATION_ExSyn/proc_01.pdf PRESENTATION_ExSyn/proc_02.pdf PRESENTATION_ExSyn/proc_03.pdf PRESENTATION_ExSyn/techmap_01.pdf + make -C PRESENTATION_ExAdv @@ -6531,9 +6566,9 @@ Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' End of script. Logfile hash: 9830ce54b4 -CPU: user 0.02s system 0.00s, MEM: 18.00 MB total, 9.15 MB resident +CPU: user 0.02s system 0.00s, MEM: 18.01 MB total, 9.01 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 21% 3x opt_expr (0 sec), 18% 2x opt_clean (0 sec), ... +Time spent: 21% 3x opt_expr (0 sec), 19% 2x opt_clean (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ @@ -6628,9 +6663,9 @@ Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' End of script. Logfile hash: 27736701b7 -CPU: user 0.01s system 0.00s, MEM: 18.14 MB total, 9.02 MB resident +CPU: user 0.01s system 0.01s, MEM: 18.14 MB total, 8.97 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 42% 1x techmap (0 sec), 25% 4x read_verilog (0 sec), ... +Time spent: 41% 1x techmap (0 sec), 29% 4x read_verilog (0 sec), ... ../../yosys sym_mul_test.ys /----------------------------------------------------------------------------\ @@ -6716,9 +6751,9 @@ Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' End of script. Logfile hash: c3b5467500 -CPU: user 0.00s system 0.01s, MEM: 18.02 MB total, 8.93 MB resident +CPU: user 0.01s system 0.00s, MEM: 18.02 MB total, 8.43 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 28% 4x read_verilog (0 sec), 27% 1x show (0 sec), ... +Time spent: 33% 4x read_verilog (0 sec), 24% 1x show (0 sec), ... ../../yosys mymul_test.ys /----------------------------------------------------------------------------\ @@ -6864,9 +6899,9 @@ Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' End of script. Logfile hash: 9fe419e02d -CPU: user 0.02s system 0.01s, MEM: 18.18 MB total, 9.09 MB resident +CPU: user 0.03s system 0.00s, MEM: 18.18 MB total, 9.14 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 23% 2x clean (0 sec), 23% 1x techmap (0 sec), ... +Time spent: 24% 2x clean (0 sec), 19% 1x techmap (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ @@ -7019,9 +7054,9 @@ Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' End of script. Logfile hash: 5a565601a1 -CPU: user 0.02s system 0.01s, MEM: 18.28 MB total, 9.13 MB resident +CPU: user 0.03s system 0.00s, MEM: 18.24 MB total, 8.77 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 35% 1x techmap (0 sec), 30% 3x clean (0 sec), ... +Time spent: 36% 1x techmap (0 sec), 27% 3x clean (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ @@ -7104,9 +7139,9 @@ Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' End of script. Logfile hash: f5437c9a24 -CPU: user 0.01s system 0.00s, MEM: 18.02 MB total, 8.98 MB resident +CPU: user 0.01s system 0.00s, MEM: 18.02 MB total, 8.60 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 28% 3x read_verilog (0 sec), 27% 1x techmap (0 sec), ... +Time spent: 31% 1x techmap (0 sec), 27% 3x read_verilog (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ @@ -7428,9 +7463,9 @@ Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' End of script. Logfile hash: 1046f45c0f -CPU: user 0.05s system 0.00s, MEM: 18.12 MB total, 8.91 MB resident +CPU: user 0.04s system 0.02s, MEM: 18.14 MB total, 8.71 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 23% 3x extract (0 sec), 23% 7x clean (0 sec), ... +Time spent: 23% 7x clean (0 sec), 22% 7x show (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ @@ -7985,9 +8020,9 @@ Warnings: 35 unique messages, 35 total End of script. Logfile hash: b22ff3d5d3 -CPU: user 0.11s system 0.02s, MEM: 18.85 MB total, 9.41 MB resident +CPU: user 0.14s system 0.01s, MEM: 18.82 MB total, 9.55 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 34% 12x clean (0 sec), 23% 5x techmap (0 sec), ... +Time spent: 33% 12x clean (0 sec), 24% 5x techmap (0 sec), ... make[3]: Leaving directory '/build/yosys-0.8/manual/PRESENTATION_ExAdv' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20181017163613Z)#' PRESENTATION_ExAdv/addshift.pdf PRESENTATION_ExAdv/macc_simple_test_00a.pdf PRESENTATION_ExAdv/macc_simple_test_00b.pdf PRESENTATION_ExAdv/macc_simple_test_01a.pdf PRESENTATION_ExAdv/macc_simple_test_01b.pdf PRESENTATION_ExAdv/macc_simple_test_02a.pdf PRESENTATION_ExAdv/macc_simple_test_02b.pdf PRESENTATION_ExAdv/macc_simple_xmap.pdf PRESENTATION_ExAdv/macc_xilinx_test1a.pdf PRESENTATION_ExAdv/macc_xilinx_test1b.pdf PRESENTATION_ExAdv/macc_xilinx_test1c.pdf PRESENTATION_ExAdv/macc_xilinx_test1d.pdf PRESENTATION_ExAdv/macc_xilinx_test1e.pdf PRESENTATION_ExAdv/macc_xilinx_test2a.pdf PRESENTATION_ExAdv/macc_xilinx_test2b.pdf PRESENTATION_ExAdv/macc_xilinx_test2c.pdf PRESENTATION_ExAdv/macc_xilinx_test2d.pdf PRESENTATION_ExAdv/macc_xilinx_test2e.pdf PRESENTATION_ExAdv/macc_xilinx_xmap.pdf PRESENTATION_ExAdv/mulshift.pdf PRESENTATION_ExAdv/mymul.pdf PRESENTATION_ExAdv/red_or3x1.pdf PRESENTATION_ExAdv/select.pdf PRESENTATION_ExAdv/sym_mul.pdf + make -C PRESENTATION_ExOth @@ -8128,9 +8163,9 @@ \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: 981af5d4c2 -CPU: user 0.03s system 0.01s, MEM: 18.88 MB total, 9.71 MB resident +CPU: user 0.04s system 0.00s, MEM: 18.88 MB total, 9.41 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 47% 1x sat (0 sec), 11% 1x submod (0 sec), ... +Time spent: 45% 1x sat (0 sec), 13% 1x submod (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ @@ -8255,9 +8290,9 @@ \__/ End of script. Logfile hash: 46f1f38ced -CPU: user 0.03s system 0.01s, MEM: 19.07 MB total, 9.94 MB resident +CPU: user 0.03s system 0.00s, MEM: 19.00 MB total, 9.44 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 80% 1x sat (0 sec), 12% 1x techmap (0 sec), ... +Time spent: 78% 1x sat (0 sec), 13% 1x techmap (0 sec), ... mv equiv.log_new equiv.log ../../yosys -l axis_test.log_new axis_test.ys @@ -9234,9 +9269,9 @@ init \uut.tvalid 1 1 1 End of script. Logfile hash: 531cf11786 -CPU: user 2.79s system 0.13s, MEM: 122.63 MB total, 112.42 MB resident +CPU: user 2.92s system 0.24s, MEM: 122.63 MB total, 112.40 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 98% 1x sat (2 sec), 0% 3x read_verilog (0 sec), ... +Time spent: 98% 1x sat (3 sec), 0% 3x read_verilog (0 sec), ... mv axis_test.log_new axis_test.log make[3]: Leaving directory '/build/yosys-0.8/manual/PRESENTATION_ExOth' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20181017163613Z)#' PRESENTATION_ExOth/scrambler_p01.pdf PRESENTATION_ExOth/scrambler_p02.pdf @@ -9269,9 +9304,9 @@ absval_ref (4 wires, 2 cells) End of script. Logfile hash: 835f6eeb34 -CPU: user 0.01s system 0.00s, MEM: 17.93 MB total, 8.16 MB resident +CPU: user 0.01s system 0.00s, MEM: 17.93 MB total, 8.23 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 96% 1x read_verilog (0 sec), 3% 1x my_cmd (0 sec) +Time spent: 97% 1x read_verilog (0 sec), 2% 1x my_cmd (0 sec) mv test0.log_new test0.log ../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v @@ -9345,9 +9380,9 @@ end End of script. Logfile hash: 32f400d5d5 -CPU: user 0.00s system 0.01s, MEM: 17.93 MB total, 8.38 MB resident +CPU: user 0.01s system 0.00s, MEM: 17.93 MB total, 7.76 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 59% 1x clean (0 sec), 30% 1x read_verilog (0 sec), ... +Time spent: 55% 1x clean (0 sec), 29% 1x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'test2' sigmap_test.v @@ -9376,9 +9411,9 @@ Log message #9. End of script. Logfile hash: d0f2b6c9a3 -CPU: user 0.01s system 0.00s, MEM: 17.93 MB total, 8.51 MB resident +CPU: user 0.01s system 0.00s, MEM: 17.93 MB total, 8.05 MB resident Yosys 0.8 (git sha1 5706e90) -Time spent: 88% 1x read_verilog (0 sec), 11% 1x test2 (0 sec) +Time spent: 83% 1x read_verilog (0 sec), 16% 1x test2 (0 sec) mv test2.log_new test2.log make[3]: Leaving directory '/build/yosys-0.8/manual/PRESENTATION_Prog' + set -ex @@ -13560,53 +13595,53 @@ make[2]: Leaving directory '/build/yosys-0.8' make[1]: Leaving directory '/build/yosys-0.8' dh_auto_test - make -j15 test + make -j16 test make[1]: Entering directory '/build/yosys-0.8' [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "" make[2]: Entering directory '/build/yosys-0.8/tests/simple' -Test: always02 -> ok Test: constpower -> ok Test: always01 -> ok -Test: forgen01 -> ok +Test: always02 -> ok +Test: graphtest -> ok Test: carryadd -> ok +Test: forgen01 -> ok +Test: forgen02 -> ok Test: always03 -> ok Test: arrays01 -> ok -Test: arraycells -> ok -Test: forgen02 -> ok Test: fiedler-cooley -> ok +Test: arraycells -> ok Test: hierarchy -> ok Test: aes_kexp128 -> ok Test: fsm -> ok -Test: graphtest -> ok Test: omsp_dbg_uart -> ok -Test: i2c_master_tests -> ok Test: macros -> ok Test: hierdefparam -> ok -Test: generate -> ok +Test: i2c_master_tests -> ok Test: loops -> ok +Test: generate -> ok +Test: mem_arst -> ok Test: dff_different_styles -> ok Test: realexpr -> ok -Test: mem_arst -> ok Test: muxtree -> ok -Test: constmuldivmod -> ok Test: specify -> ok +Test: constmuldivmod -> ok Test: paramods -> ok Test: signedexpr -> ok -Test: scopes -> ok Test: multiplier -> ok Test: undef_eqx_nex -> ok -Test: process -> ok +Test: scopes -> ok Test: mem2reg -> ok +Test: process -> ok Test: usb_phy_tests -> ok Test: subbytes -> ok Test: repwhile -> ok Test: values -> ok -Test: task_func -> ok Test: vloghammer -> ok +Test: task_func -> ok +Test: partsel -> ok Test: wreduce -> ok Test: sincos -> ok -Test: partsel -> ok Test: rotate -> ok Test: operators -> ok Test: memory -> ok @@ -13617,104 +13652,104 @@ Test: test_simulation_seq -> ok Test: test_parse2synthtrans -> ok Test: test_simulation_nor -> ok +Test: test_simulation_vlib -> ok Test: test_simulation_nand -> ok Test: test_simulation_or -> ok -Test: test_simulation_and -> ok -Test: test_simulation_vlib -> ok Test: test_parser -> ok +Test: test_simulation_and -> ok Test: test_simulation_sop -> ok Test: test_simulation_inc -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok +Test: test_simulation_techmap -> ok Test: test_simulation_always -> ok Test: test_simulation_mux -> ok -Test: test_simulation_techmap -> ok Test: test_simulation_decoder -> ok -Test: test_simulation_techmap_tech -> ok Test: test_simulation_shifter -> ok +Test: test_simulation_techmap_tech -> ok Test: test_intermout -> ok make[2]: Leaving directory '/build/yosys-0.8/tests/hana' cd tests/asicworld && bash run-test.sh "" make[2]: Entering directory '/build/yosys-0.8/tests/asicworld' Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_d_latch_gates -> ok -Test: code_hdl_models_decoder_2to4_gates -> ok -Test: code_hdl_models_d_ff_gates -> ok -Test: code_hdl_models_encoder_4to2_gates -> ok -Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_clk_div -> ok -Test: code_hdl_models_decoder_using_assign -> ok +Test: code_hdl_models_encoder_4to2_gates -> ok +Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_GrayCounter -> ok +Test: code_hdl_models_decoder_2to4_gates -> ok +Test: code_hdl_models_full_adder_gates -> ok +Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_arbiter -> ok -Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_decoder_using_case -> ok +Test: code_hdl_models_decoder_using_assign -> ok +Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_clk_div_45 -> ok -Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_encoder_using_if -> ok -Test: code_hdl_models_half_adder_gates -> ok -Test: code_hdl_models_mux21_switch -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_misc1 -> ok +Test: code_hdl_models_mux21_switch -> ok +Test: code_hdl_models_half_adder_gates -> ok +Test: code_hdl_models_nand_switch -> ok +Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_2to1_gates -> ok -Test: code_hdl_models_lfsr -> ok +Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_gray_counter -> ok -Test: code_hdl_models_nand_switch -> ok +Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok -Test: code_hdl_models_mux_using_case -> ok -Test: code_hdl_models_mux_using_if -> ok -Test: code_hdl_models_mux_using_assign -> ok -Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_one_hot_cnt -> ok +Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_parity_using_bitwise -> ok +Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_t_gate_switch -> ok -Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_parallel_crc -> ok -Test: code_hdl_models_tff_async_reset -> ok -Test: code_tidbits_asyn_reset -> ok Test: code_hdl_models_rom_using_case -> ok -Test: code_hdl_models_serial_crc -> ok -Test: code_tidbits_blocking -> ok +Test: code_hdl_models_pri_encoder_using_assign -> ok +Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok +Test: code_tidbits_asyn_reset -> ok Test: code_hdl_models_up_counter -> ok +Test: code_tidbits_blocking -> ok +Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_up_counter_load -> ok -Test: code_hdl_models_up_down_counter -> ok -Test: code_tidbits_fsm_using_always -> ok -Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_nonblocking -> ok +Test: code_hdl_models_up_down_counter -> ok Test: code_tidbits_reg_combo_example -> ok -Test: code_verilog_tutorial_always_example -> ok +Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_fsm_using_function -> ok +Test: code_tidbits_fsm_using_single_always -> ok +Test: code_tidbits_fsm_using_always -> ok +Test: code_verilog_tutorial_always_example -> ok Test: code_tidbits_wire_example -> ok -Test: code_tidbits_syn_reset -> ok Test: code_verilog_tutorial_bus_con -> ok -Test: code_tidbits_fsm_using_single_always -> ok -Test: code_verilog_tutorial_addbit -> ok +Test: code_tidbits_syn_reset -> ok Test: code_verilog_tutorial_comment -> ok +Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_counter -> ok -Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_specman_switch_fabric -> ok -Test: code_verilog_tutorial_decoder_always -> ok -Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_if_else -> ok +Test: code_verilog_tutorial_escape_id -> ok +Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_first_counter -> ok +Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_good_code -> ok -Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_flip_flop -> ok -Test: code_verilog_tutorial_parallel_if -> ok +Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_explicit -> ok +Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_fsm_full -> ok -Test: code_hdl_models_uart -> ok -Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_task_global -> ok -Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_v2k_reg -> ok -Test: code_verilog_tutorial_parity -> ok +Test: code_verilog_tutorial_simple_function -> ok +Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_which_clock -> ok +Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_tri_buf -> ok +Test: code_hdl_models_uart -> ok Test: code_hdl_models_cam -> ok make[2]: Leaving directory '/build/yosys-0.8/tests/asicworld' #+cd tests/realmath && bash run-test.sh "" @@ -13726,7 +13761,7 @@ generating tests.. running tests.. make[2]: Entering directory '/build/yosys-0.8/tests/fsm' -[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]KK[73][74]K[75]K[76]K[77]K[78]K[79]K[80]K[81]K[82]T[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]K[91]K[92]K[93]K[94]KK[95][96]K[97]K[98]K[99]KKKKKKKKKKKKTKK +[0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15]K[16]K[17]K[18]K[19]K[20]KK[21][22]K[23]K[24]K[25]K[26]KK[27][28]K[29]K[30]K[31]K[32]KK[33]K[34][35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]K[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]KK[74][75]K[76]K[77]K[78]K[79]K[80]K[81]K[82]K[83]KK[84][85]K[86]K[87]K[88]K[89]K[90]K[91]K[92]K[93]K[94]K[95]T[96]K[97]K[98]K[99]KKKKKKKKTKKKKTTK make[2]: Leaving directory '/build/yosys-0.8/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. @@ -13743,28 +13778,28 @@ Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "" generating tests.. -PRNG seed: 897171 +PRNG seed: 222560 running tests.. make[2]: Entering directory '/build/yosys-0.8/tests/bram' -Passed memory_bram test 00_01. Passed memory_bram test 01_00. -Passed memory_bram test 02_03. -Passed memory_bram test 00_04. +Passed memory_bram test 01_03. Passed memory_bram test 02_01. -Passed memory_bram test 02_00. -Passed memory_bram test 00_02. -Passed memory_bram test 03_01. -Passed memory_bram test 03_00. Passed memory_bram test 00_03. -Passed memory_bram test 03_02. -Passed memory_bram test 01_02. -Passed memory_bram test 04_01. +Passed memory_bram test 03_01. +Passed memory_bram test 02_00. +Passed memory_bram test 03_04. Passed memory_bram test 02_04. +Passed memory_bram test 00_01. +Passed memory_bram test 04_01. +Passed memory_bram test 03_00. Passed memory_bram test 04_00. -Passed memory_bram test 04_03. -Passed memory_bram test 03_04. -Passed memory_bram test 01_03. Passed memory_bram test 04_02. +Passed memory_bram test 00_02. +Passed memory_bram test 04_03. +Passed memory_bram test 01_02. +Passed memory_bram test 02_03. +Passed memory_bram test 00_04. +Passed memory_bram test 03_02. Passed memory_bram test 01_04. make[2]: Leaving directory '/build/yosys-0.8/tests/bram' cd tests/various && bash run-test.sh @@ -13803,7 +13838,7 @@ debian/rules override_dh_auto_install make[1]: Entering directory '/build/yosys-0.8' dh_auto_install - make -j15 install DESTDIR=/build/yosys-0.8/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" + make -j16 install DESTDIR=/build/yosys-0.8/debian/tmp AM_UPDATE_INFO_DIR=no "INSTALL=install --strip-program=true" make[2]: Entering directory '/build/yosys-0.8' [Makefile.conf] CONFIG := gcc mkdir -p /build/yosys-0.8/debian/tmp/usr/bin @@ -13847,8 +13882,8 @@ dpkg-gencontrol: warning: Depends field of package yosys-dev: substitution variable ${python3:Depends} used, but is not defined dh_md5sums dh_builddeb -dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.8-1_all.deb'. dpkg-deb: building package 'yosys-dbgsym' in '../yosys-dbgsym_0.8-1_amd64.deb'. +dpkg-deb: building package 'yosys-doc' in '../yosys-doc_0.8-1_all.deb'. dpkg-deb: building package 'yosys-dev' in '../yosys-dev_0.8-1_amd64.deb'. dpkg-deb: building package 'yosys' in '../yosys_0.8-1_amd64.deb'. dpkg-genbuildinfo --build=binary @@ -13858,12 +13893,14 @@ dpkg-buildpackage: info: binary-only upload (no source included) dpkg-genchanges: info: including full source code in upload I: copying local configuration +I: user script /srv/workspace/pbuilder/1296231/tmp/hooks/B01_cleanup starting +I: user script /srv/workspace/pbuilder/1296231/tmp/hooks/B01_cleanup finished I: unmounting dev/ptmx filesystem I: unmounting dev/pts filesystem I: unmounting dev/shm filesystem I: unmounting proc filesystem I: unmounting sys filesystem I: cleaning the build env -I: removing directory /srv/workspace/pbuilder/1299452 and its subdirectories -I: Current time: Fri Dec 17 19:17:58 -12 2021 -I: pbuilder-time-stamp: 1639811878 +I: removing directory /srv/workspace/pbuilder/1296231 and its subdirectories +I: Current time: Sat Jan 21 04:35:28 +14 2023 +I: pbuilder-time-stamp: 1674225328