==> Cloning yosys ... Cloning into 'yosys'... ==> Collecting packager identity from makepkg.conf -> name : Reproducible Arch Linux tests -> email : reproducible@archlinux.org -> gpg-key : undefined -> protocol: https ==> Configuring yosys :: Synchronizing package databases... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 134k 100 134k 0 0 389k 0 --:--:-- --:--:-- --:--:-- 389k 100 134k 100 134k 0 0 389k 0 --:--:-- --:--:-- --:--:-- 389k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 8556k 100 8556k 0 0 21.8M 0 --:--:-- --:--:-- --:--:-- 21.8M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 142k 100 142k 0 0 398k 0 --:--:-- --:--:-- --:--:-- 399k :: Starting full system upgrade... there is nothing to do ==> Making package: yosys 0.38-1 (Mon Mar 11 18:40:14 2024) ==> Checking runtime dependencies... ==> Installing missing dependencies... resolving dependencies... looking for conflicting packages... Packages (5) abseil-cpp-20230802.1-1 abc-0.0.0.20221019-1 boost-libs-1.83.0-5 protobuf-25.3-1 tcl-8.6.14-1 Total Download Size: 15.94 MiB Total Installed Size: 53.76 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 1 5864k 1 98k 0 0 133k 0 0:00:43 --:--:-- 0:00:43 133k 39 5864k 39 2298k 0 0 1418k 0 0:00:04 0:00:01 0:00:03 1418k 100 5864k 100 5864k 0 0 2392k 0 0:00:02 0:00:02 --:--:-- 2392k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 2321k 100 2321k 0 0 103M 0 --:--:-- --:--:-- --:--:-- 107M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 4631k 100 4631k 0 0 113M 0 --:--:-- --:--:-- --:--:-- 115M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 1123k 100 1123k 0 0 48.3M 0 --:--:-- --:--:-- --:--:-- 49.8M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 2385k 100 2385k 0 0 52.6M 0 --:--:-- --:--:-- --:--:-- 52.9M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... installing abc... installing boost-libs... Optional dependencies for boost-libs openmpi: for mpi support installing tcl... installing abseil-cpp... installing protobuf... :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... ==> Checking buildtime dependencies... ==> Installing missing dependencies... resolving dependencies... looking for conflicting packages... Packages (1) boost-1.83.0-5 Total Download Size: 14.43 MiB Total Installed Size: 178.93 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 14.4M 100 14.4M 0 0 151M 0 --:--:-- --:--:-- --:--:-- 151M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... installing boost... Optional dependencies for boost python: for python bindings [installed] :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... ==> Retrieving sources... -> Cloning yosys git repo... Cloning into bare repository '/tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/yosys'... ==> Validating source files with sha512sums... yosys ... Skipped ==> Extracting sources... -> Creating working copy of yosys git repo... Cloning into 'yosys'... done. Switched to a new branch 'makepkg' ==> Starting build()... [ 0%] Building kernel/version_543faed9c.cc [ 0%] Building kernel/celltypes.pyh [ 0%] Building kernel/consteval.pyh [ 0%] Building kernel/log.pyh [ 0%] Building kernel/register.pyh [ 0%] Building kernel/rtlil.pyh [ 0%] Building kernel/sigtools.pyh [ 0%] Building kernel/yosys.pyh [ 0%] Building kernel/cost.pyh [ 0%] Building kernel/driver.o [ 0%] Building techlibs/common/simlib_help.inc [ 0%] Building techlibs/common/simcells_help.inc [ 1%] Building kernel/rtlil.o [ 1%] Building kernel/log.o [ 2%] Building kernel/calc.o [ 2%] Building kernel/yosys.o [ 2%] Building kernel/binding.o [ 3%] Building kernel/cellaigs.o [ 3%] Building kernel/celledges.o [ 3%] Building kernel/satgen.o [ 4%] Building kernel/qcsat.o [ 4%] Building kernel/mem.o [ 4%] Building kernel/ffmerge.o [ 4%] Building kernel/ff.o [ 5%] Building kernel/yw.o [ 5%] Building kernel/json.o [ 5%] Building kernel/fmt.o [ 6%] Building kernel/fstdata.o [ 6%] Building libs/bigint/BigIntegerAlgorithms.o [ 6%] Building libs/bigint/BigInteger.o [ 7%] Building libs/bigint/BigIntegerUtils.o [ 7%] Building libs/bigint/BigUnsigned.o [ 7%] Building libs/bigint/BigUnsignedInABase.o [ 8%] Building libs/sha1/sha1.o [ 8%] Building libs/json11/json11.o [ 8%] Building libs/ezsat/ezsat.o [ 9%] Building libs/ezsat/ezminisat.o [ 9%] Building libs/minisat/Options.o [ 9%] Building libs/minisat/SimpSolver.o [ 9%] Building libs/minisat/Solver.o In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ [ 10%] Building libs/minisat/System.o In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ [ 10%] Building libs/fst/fstapi.o [ 10%] Building libs/fst/fastlz.o [ 11%] Building libs/fst/lz4.o [ 11%] Building libs/subcircuit/subcircuit.o [ 11%] Building frontends/aiger/aigerparse.o [ 12%] Building frontends/ast/ast.o [ 12%] Building frontends/ast/simplify.o [ 12%] Building frontends/ast/genrtlil.o [ 13%] Building frontends/ast/dpicall.o [ 13%] Building frontends/ast/ast_binding.o [ 13%] Building frontends/blif/blifparse.o [ 14%] Building frontends/json/jsonparse.o [ 14%] Building frontends/liberty/liberty.o [ 14%] Building frontends/rpc/rpc_frontend.o [ 14%] Building frontends/rtlil/rtlil_parser.tab.cc [ 14%] Building frontends/rtlil/rtlil_lexer.cc [ 15%] Building frontends/rtlil/rtlil_frontend.o [ 15%] Building frontends/verific/verific.o [ 15%] Building frontends/verilog/verilog_parser.tab.cc [ 16%] Building frontends/verilog/preproc.o [ 17%] Building frontends/verilog/verilog_frontend.o [ 17%] Building frontends/verilog/const2ast.o [ 17%] Building passes/cmds/exec.o [ 18%] Building passes/cmds/add.o [ 18%] Building passes/cmds/delete.o [ 18%] Building passes/cmds/design.o [ 18%] Building passes/cmds/select.o [ 19%] Building passes/cmds/show.o [ 19%] Building passes/cmds/viz.o [ 19%] Building passes/cmds/rename.o [ 20%] Building passes/cmds/autoname.o [ 20%] Building passes/cmds/connect.o [ 20%] Building passes/cmds/scatter.o [ 21%] Building passes/cmds/setundef.o [ 21%] Building passes/cmds/splitnets.o [ 21%] Building passes/cmds/splitcells.o [ 22%] Building passes/cmds/stat.o [ 22%] Building passes/cmds/setattr.o [ 22%] Building passes/cmds/copy.o [ 23%] Building passes/cmds/splice.o [ 23%] Building passes/cmds/scc.o [ 23%] Building passes/cmds/glift.o kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1240:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: 'undef_srst' was declared here 1231 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: 'undef_ce' was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1268:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: 'undef_srst' was declared here 1259 | int undef_srst; | ^~~~~~~~~~ [ 23%] Building passes/cmds/torder.o [ 24%] Building passes/cmds/logcmd.o [ 24%] Building passes/cmds/tee.o [ 24%] Building passes/cmds/write_file.o [ 25%] Building passes/cmds/connwrappers.o [ 25%] Building passes/cmds/cover.o [ 25%] Building passes/cmds/trace.o [ 26%] Building passes/cmds/plugin.o [ 26%] Building passes/cmds/check.o [ 26%] Building passes/cmds/qwp.o [ 27%] Building passes/cmds/edgetypes.o [ 27%] Building passes/cmds/portlist.o [ 27%] Building passes/cmds/chformal.o [ 28%] Building passes/cmds/chtype.o [ 28%] Building passes/cmds/blackbox.o [ 28%] Building passes/cmds/ltp.o [ 28%] Building passes/cmds/bugpoint.o [ 29%] Building passes/cmds/scratchpad.o [ 29%] Building passes/cmds/logger.o [ 29%] Building passes/cmds/printattrs.o [ 30%] Building passes/cmds/sta.o [ 30%] Building passes/cmds/clean_zerowidth.o [ 30%] Building passes/cmds/xprop.o [ 31%] Building passes/cmds/dft_tag.o [ 31%] Building passes/cmds/future.o [ 31%] Building passes/equiv/equiv_make.o [ 32%] Building passes/equiv/equiv_miter.o [ 32%] Building passes/equiv/equiv_simple.o [ 32%] Building passes/equiv/equiv_status.o [ 33%] Building passes/equiv/equiv_add.o [ 33%] Building passes/equiv/equiv_remove.o [ 33%] Building passes/equiv/equiv_induct.o [ 33%] Building passes/equiv/equiv_struct.o [ 34%] Building passes/equiv/equiv_purge.o [ 34%] Building passes/equiv/equiv_mark.o [ 34%] Building passes/equiv/equiv_opt.o [ 35%] Building passes/fsm/fsm.o [ 35%] Building passes/fsm/fsm_detect.o [ 35%] Building passes/fsm/fsm_extract.o [ 36%] Building passes/fsm/fsm_opt.o [ 36%] Building passes/fsm/fsm_expand.o [ 36%] Building passes/fsm/fsm_recode.o [ 37%] Building passes/fsm/fsm_info.o [ 37%] Building passes/fsm/fsm_export.o [ 37%] Building passes/fsm/fsm_map.o [ 37%] Building passes/hierarchy/hierarchy.o [ 38%] Building passes/hierarchy/uniquify.o [ 38%] Building passes/hierarchy/submod.o [ 38%] Building passes/memory/memory.o [ 39%] Building passes/memory/memory_dff.o [ 39%] Building passes/memory/memory_share.o [ 39%] Building passes/memory/memory_collect.o [ 40%] Building passes/memory/memory_unpack.o [ 40%] Building passes/memory/memory_bram.o [ 40%] Building passes/memory/memory_map.o [ 41%] Building passes/memory/memory_memx.o [ 41%] Building passes/memory/memory_nordff.o [ 41%] Building passes/memory/memory_narrow.o [ 42%] Building passes/memory/memory_libmap.o [ 42%] Building passes/memory/memory_bmux2rom.o [ 42%] Building passes/memory/memlib.o [ 42%] Building passes/opt/opt.o [ 43%] Building passes/opt/opt_merge.o [ 43%] Building passes/opt/opt_mem.o [ 43%] Building passes/opt/opt_mem_feedback.o [ 44%] Building passes/opt/opt_mem_priority.o [ 44%] Building passes/opt/opt_mem_widen.o [ 44%] Building passes/opt/opt_muxtree.o [ 45%] Building passes/opt/opt_reduce.o [ 45%] Building passes/opt/opt_dff.o [ 45%] Building passes/opt/opt_share.o [ 46%] Building passes/opt/opt_clean.o [ 46%] Building passes/opt/opt_expr.o [ 46%] Building passes/opt/share.o [ 47%] Building passes/opt/wreduce.o [ 47%] Building passes/opt/opt_demorgan.o [ 47%] Building passes/opt/rmports.o [ 47%] Building passes/opt/opt_lut.o [ 48%] Building passes/opt/opt_lut_ins.o [ 48%] Building passes/opt/opt_ffinv.o [ 48%] Building passes/opt/pmux2shiftx.o [ 49%] Building passes/opt/muxpack.o [ 49%] Building passes/pmgen/test_pmgen_pm.h [ 49%] Building passes/pmgen/ice40_dsp_pm.h [ 49%] Building passes/pmgen/peepopt_pm.h [ 49%] Building passes/pmgen/xilinx_srl_pm.h [ 49%] Building passes/pmgen/ice40_dsp.o [ 49%] Building passes/pmgen/ice40_wrapcarry_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_pm.h [ 49%] Building passes/pmgen/xilinx_dsp48a_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_CREG_pm.h [ 49%] Building passes/pmgen/xilinx_dsp_cascade_pm.h [ 50%] Building passes/pmgen/peepopt.o [ 51%] Building passes/pmgen/xilinx_srl.o [ 51%] Building passes/proc/proc.o [ 51%] Building passes/proc/proc_prune.o [ 52%] Building passes/proc/proc_clean.o [ 52%] Building passes/proc/proc_rmdead.o [ 52%] Building passes/proc/proc_init.o [ 52%] Building passes/proc/proc_arst.o [ 53%] Building passes/proc/proc_rom.o [ 53%] Building passes/proc/proc_mux.o [ 53%] Building passes/proc/proc_dlatch.o [ 54%] Building passes/proc/proc_dff.o [ 54%] Building passes/proc/proc_memwr.o [ 54%] Building passes/sat/sat.o [ 55%] Building passes/sat/freduce.o [ 55%] Building passes/sat/eval.o [ 55%] Building passes/sat/sim.o [ 56%] Building passes/sat/miter.o [ 56%] Building passes/sat/expose.o [ 56%] Building passes/sat/assertpmux.o [ 56%] Building passes/sat/clk2fflogic.o [ 57%] Building passes/sat/async2sync.o [ 57%] Building passes/sat/formalff.o [ 57%] Building passes/sat/supercover.o [ 58%] Building passes/sat/fmcombine.o [ 58%] Building passes/sat/mutate.o [ 58%] Building passes/sat/cutpoint.o [ 59%] Building passes/sat/fminit.o [ 59%] Building passes/sat/recover_names.o [ 59%] Building passes/sat/qbfsat.o [ 60%] Building passes/sat/synthprop.o [ 60%] Building passes/techmap/flatten.o [ 60%] Building passes/techmap/techmap.o [ 61%] Building passes/techmap/simplemap.o [ 61%] Building passes/techmap/dfflibmap.o [ 61%] Building passes/techmap/maccmap.o [ 61%] Building passes/techmap/booth.o [ 62%] Building passes/techmap/libparse.o [ 62%] Building passes/techmap/abc.o [ 62%] Building passes/techmap/abc9.o [ 63%] Building passes/techmap/abc9_exe.o [ 63%] Building passes/techmap/abc9_ops.o [ 63%] Building passes/techmap/iopadmap.o [ 64%] Building passes/techmap/clkbufmap.o [ 64%] Building passes/techmap/hilomap.o [ 64%] Building passes/techmap/extract.o [ 65%] Building passes/techmap/extract_fa.o [ 65%] Building passes/techmap/extract_counter.o [ 65%] Building passes/techmap/extract_reduce.o [ 66%] Building passes/techmap/alumacc.o [ 66%] Building passes/techmap/dffinit.o [ 66%] Building passes/techmap/pmuxtree.o [ 66%] Building passes/techmap/bmuxmap.o [ 67%] Building passes/techmap/demuxmap.o [ 67%] Building passes/techmap/bwmuxmap.o [ 67%] Building passes/techmap/muxcover.o [ 68%] Building passes/techmap/aigmap.o [ 68%] Building passes/techmap/tribuf.o [ 68%] Building passes/techmap/lut2mux.o [ 69%] Building passes/techmap/nlutmap.o [ 69%] Building passes/techmap/shregmap.o [ 69%] Building passes/techmap/deminout.o [ 70%] Building passes/techmap/insbuf.o [ 70%] Building passes/techmap/attrmvcp.o [ 70%] Building passes/techmap/attrmap.o [ 71%] Building passes/techmap/zinit.o [ 71%] Building passes/techmap/dfflegalize.o [ 71%] Building passes/techmap/dffunmap.o [ 71%] Building passes/techmap/flowmap.o [ 72%] Building passes/techmap/extractinv.o [ 72%] Building passes/tests/test_autotb.o [ 72%] Building passes/tests/test_cell.o [ 73%] Building passes/tests/test_abcloop.o [ 73%] Building backends/aiger/aiger.o [ 73%] Building backends/aiger/xaiger.o [ 74%] Building backends/blif/blif.o [ 74%] Building backends/btor/btor.o [ 74%] Building backends/cxxrtl/cxxrtl_backend.o [ 75%] Building backends/edif/edif.o [ 75%] Building backends/firrtl/firrtl.o [ 75%] Building backends/intersynth/intersynth.o [ 75%] Building backends/jny/jny.o [ 76%] Building backends/json/json.o [ 76%] Building backends/rtlil/rtlil_backend.o [ 76%] Building backends/simplec/simplec.o [ 77%] Building backends/smt2/smt2.o [ 77%] Building backends/smv/smv.o [ 77%] Building backends/spice/spice.o [ 78%] Building backends/table/table.o [ 78%] Building backends/verilog/verilog_backend.o [ 78%] Building techlibs/achronix/synth_achronix.o [ 79%] Building techlibs/anlogic/synth_anlogic.o [ 79%] Building techlibs/anlogic/anlogic_eqn.o [ 79%] Building techlibs/anlogic/anlogic_fixcarry.o [ 80%] Building techlibs/common/synth.o [ 80%] Building techlibs/common/prep.o [ 80%] Building techlibs/coolrunner2/synth_coolrunner2.o [ 80%] Building techlibs/coolrunner2/coolrunner2_sop.o [ 81%] Building techlibs/coolrunner2/coolrunner2_fixup.o [ 81%] Building techlibs/easic/synth_easic.o [ 81%] Building techlibs/ecp5/synth_ecp5.o [ 82%] Building techlibs/efinix/synth_efinix.o [ 82%] Building techlibs/efinix/efinix_fixcarry.o [ 82%] Building techlibs/fabulous/synth_fabulous.o [ 83%] Building techlibs/gatemate/synth_gatemate.o [ 83%] Building techlibs/gatemate/gatemate_foldinv.o [ 83%] Building techlibs/gowin/synth_gowin.o [ 84%] Building techlibs/greenpak4/synth_greenpak4.o [ 84%] Building techlibs/greenpak4/greenpak4_dffinv.o [ 84%] Building techlibs/ice40/synth_ice40.o [ 85%] Building techlibs/ice40/ice40_braminit.o [ 85%] Building techlibs/ice40/ice40_opt.o [ 85%] Building techlibs/intel/synth_intel.o [ 85%] Building techlibs/intel_alm/synth_intel_alm.o [ 86%] Building techlibs/lattice/synth_lattice.o [ 86%] Building techlibs/lattice/lattice_gsr.o [ 86%] Building techlibs/nexus/synth_nexus.o [ 87%] Building techlibs/quicklogic/synth_quicklogic.o [ 87%] Building techlibs/quicklogic/ql_bram_merge.o [ 87%] Building techlibs/quicklogic/ql_bram_types.o [ 88%] Building techlibs/quicklogic/ql_dsp_simd.o [ 88%] Building techlibs/quicklogic/ql_dsp_io_regs.o [ 88%] Building techlibs/quicklogic/ql_dsp_macc_pm.h [ 89%] Building techlibs/sf2/synth_sf2.o [ 89%] Building techlibs/xilinx/synth_xilinx.o [ 89%] Building techlibs/xilinx/xilinx_dffopt.o [ 99%] Building yosys-config [ 99%] Building passes/techmap/filterlib.o [ 99%] Building yosys-smtbmc [ 99%] Building yosys-witness [ 99%] Building share/include/kernel/binding.h [ 99%] Building share/include/kernel/cellaigs.h [ 99%] Building share/include/kernel/celledges.h [ 99%] Building share/include/kernel/celltypes.h [ 99%] Building share/include/kernel/consteval.h [ 99%] Building share/include/kernel/constids.inc [ 99%] Building share/include/kernel/cost.h [ 99%] Building share/include/kernel/ff.h [ 99%] Building share/include/kernel/ffinit.h [ 99%] Building share/include/kernel/ffmerge.h [ 99%] Building share/include/kernel/fmt.h [ 99%] Building share/include/kernel/fstdata.h [ 99%] Building share/include/kernel/hashlib.h [ 99%] Building share/include/kernel/json.h [ 99%] Building share/include/kernel/log.h [ 99%] Building share/include/kernel/macc.h [ 99%] Building share/include/kernel/modtools.h [ 99%] Building share/include/kernel/mem.h [ 99%] Building share/include/kernel/qcsat.h [ 99%] Building share/include/kernel/register.h [ 99%] Building share/include/kernel/rtlil.h [ 99%] Building share/include/kernel/satgen.h [ 99%] Building share/include/kernel/sigtools.h [ 99%] Building share/include/kernel/timinginfo.h [ 99%] Building share/include/kernel/utils.h [ 99%] Building share/include/kernel/yosys.h [ 99%] Building share/include/kernel/yw.h [ 99%] Building share/include/libs/ezsat/ezsat.h [ 99%] Building share/include/libs/ezsat/ezminisat.h [ 99%] Building share/include/libs/fst/fstapi.h [ 99%] Building share/include/libs/sha1/sha1.h [ 99%] Building share/include/libs/json11/json11.hpp [ 99%] Building share/include/passes/fsm/fsmdata.h [ 99%] Building share/include/frontends/ast/ast.h [ 99%] Building share/include/frontends/ast/ast_binding.h [ 99%] Building share/include/frontends/blif/blifparse.h [ 99%] Building share/include/backends/rtlil/rtlil_backend.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc [ 99%] Building share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h [ 99%] Building share/python3/smtio.py [ 99%] Building share/python3/ywio.py [ 99%] Building share/achronix/speedster22i/cells_sim.v [ 99%] Building share/achronix/speedster22i/cells_map.v [ 99%] Building share/anlogic/cells_map.v [ 99%] Building share/anlogic/arith_map.v [ 99%] Building share/anlogic/cells_sim.v [ 99%] Building share/anlogic/eagle_bb.v [ 99%] Building share/anlogic/lutrams.txt [ 99%] Building share/anlogic/lutrams_map.v [ 99%] Building share/anlogic/brams.txt [ 99%] Building share/anlogic/brams_map.v [ 99%] Building share/simlib.v [ 99%] Building share/simcells.v [ 99%] Building share/techmap.v [ 99%] Building share/smtmap.v [ 99%] Building share/pmux2mux.v [ 99%] Building share/adff2dff.v [ 99%] Building share/dff2ff.v [ 99%] Building share/gate2lut.v [ 99%] Building share/cmp2lut.v [ 99%] Building share/cells.lib [ 99%] Building share/mul2dsp.v [ 99%] Building share/abc9_model.v [ 99%] Building share/abc9_map.v [ 99%] Building share/abc9_unmap.v [ 99%] Building share/cmp2lcu.v [ 99%] Building share/cmp2softlogic.v [ 99%] Building share/coolrunner2/cells_latch.v [ 99%] Building share/coolrunner2/cells_sim.v [ 99%] Building share/coolrunner2/cells_counter_map.v [ 99%] Building share/coolrunner2/tff_extract.v [ 99%] Building share/coolrunner2/xc2_dff.lib [ 99%] Building share/ecp5/cells_ff.vh [ 99%] Building share/ecp5/cells_io.vh [ 99%] Building share/ecp5/cells_map.v [ 99%] Building share/ecp5/cells_sim.v [ 99%] Building share/ecp5/cells_bb.v [ 99%] Building share/ecp5/lutrams_map.v [ 99%] Building share/ecp5/lutrams.txt [ 99%] Building share/ecp5/brams_map.v [ 99%] Building share/ecp5/brams.txt [ 99%] Building share/ecp5/arith_map.v [ 99%] Building share/ecp5/latches_map.v [ 99%] Building share/ecp5/dsp_map.v [ 99%] Building share/efinix/cells_map.v [ 99%] Building share/efinix/arith_map.v [ 99%] Building share/efinix/cells_sim.v [ 99%] Building share/efinix/brams_map.v [ 99%] Building share/efinix/gbuf_map.v [ 99%] Building share/efinix/brams.txt [ 99%] Building share/fabulous/cells_map.v [ 99%] Building share/fabulous/prims.v [ 99%] Building share/fabulous/latches_map.v [ 99%] Building share/fabulous/ff_map.v [ 99%] Building share/fabulous/ram_regfile.txt [ 99%] Building share/fabulous/regfile_map.v [ 99%] Building share/fabulous/io_map.v [ 99%] Building share/fabulous/arith_map.v [ 99%] Building share/gatemate/reg_map.v [ 99%] Building share/gatemate/mux_map.v [ 99%] Building share/gatemate/lut_map.v [ 99%] Building share/gatemate/mul_map.v [ 99%] Building share/gatemate/arith_map.v [ 99%] Building share/gatemate/cells_sim.v [ 99%] Building share/gatemate/cells_bb.v [ 99%] Building share/gatemate/brams_map.v [ 99%] Building share/gatemate/brams.txt [ 99%] Building share/gatemate/brams_init_20.vh [ 99%] Building share/gatemate/brams_init_40.vh [ 99%] Building share/gatemate/inv_map.v [ 99%] Building techlibs/gatemate/lut_tree_lib.mk [ 99%] Building share/gowin/cells_map.v [ 99%] Building share/gowin/cells_sim.v [ 99%] Building share/gowin/cells_xtra.v [ 99%] Building share/gowin/arith_map.v [ 99%] Building share/gowin/brams_map.v [ 99%] Building share/gowin/brams.txt [ 99%] Building share/gowin/lutrams_map.v [ 99%] Building share/gowin/lutrams.txt [ 99%] Building share/greenpak4/cells_blackbox.v [ 99%] Building share/greenpak4/cells_latch.v [ 99%] Building share/greenpak4/cells_map.v [ 99%] Building share/greenpak4/cells_sim.v [ 99%] Building share/greenpak4/cells_sim_ams.v [ 99%] Building share/greenpak4/cells_sim_digital.v [ 99%] Building share/greenpak4/cells_sim_wip.v [ 99%] Building share/greenpak4/gp_dff.lib [ 99%] Building share/ice40/arith_map.v [ 99%] Building share/ice40/cells_map.v [ 99%] Building share/ice40/ff_map.v [ 99%] Building share/ice40/cells_sim.v [ 99%] Building share/ice40/latches_map.v [ 99%] Building share/ice40/brams.txt [ 99%] Building share/ice40/brams_map.v [ 99%] Building share/ice40/spram.txt [ 99%] Building share/ice40/spram_map.v [ 99%] Building share/ice40/dsp_map.v [ 99%] Building share/ice40/abc9_model.v [ 99%] Building share/intel/common/m9k_bb.v [ 99%] Building share/intel/common/altpll_bb.v [ 99%] Building share/intel/common/brams_m9k.txt [ 99%] Building share/intel/common/brams_map_m9k.v [ 99%] Building share/intel/common/ff_map.v [ 99%] Building share/intel/max10/cells_sim.v [ 99%] Building share/intel/cyclone10lp/cells_sim.v [ 99%] Building share/intel/cycloneiv/cells_sim.v [ 99%] Building share/intel/cycloneive/cells_sim.v [ 99%] Building share/intel/max10/cells_map.v [ 99%] Building share/intel/cyclone10lp/cells_map.v [ 99%] Building share/intel/cycloneiv/cells_map.v [ 99%] Building share/intel/cycloneive/cells_map.v [ 99%] Building share/intel_alm/common/abc9_map.v [ 99%] Building share/intel_alm/common/abc9_unmap.v [ 99%] Building share/intel_alm/common/abc9_model.v [ 99%] Building share/intel_alm/common/alm_map.v [ 99%] Building share/intel_alm/common/alm_sim.v [ 99%] Building share/intel_alm/common/arith_alm_map.v [ 99%] Building share/intel_alm/common/dff_map.v [ 99%] Building share/intel_alm/common/dff_sim.v [ 99%] Building share/intel_alm/common/dsp_sim.v [ 99%] Building share/intel_alm/common/dsp_map.v [ 99%] Building share/intel_alm/common/mem_sim.v [ 99%] Building share/intel_alm/common/misc_sim.v [ 99%] Building share/intel_alm/cyclonev/cells_sim.v [ 99%] Building share/intel_alm/common/bram_m10k.txt [ 99%] Building share/intel_alm/common/bram_m10k_map.v [ 99%] Building share/intel_alm/common/bram_m20k.txt [ 99%] Building share/intel_alm/common/bram_m20k_map.v [ 99%] Building share/intel_alm/common/lutram_mlab.txt [ 99%] Building share/intel_alm/common/megafunction_bb.v [ 99%] Building share/intel_alm/common/quartus_rename.v [ 99%] Building share/lattice/cells_ff.vh [ 99%] Building share/lattice/cells_io.vh [ 99%] Building share/lattice/cells_map.v [ 99%] Building share/lattice/common_sim.vh [ 99%] Building share/lattice/ccu2d_sim.vh [ 99%] Building share/lattice/ccu2c_sim.vh [ 99%] Building share/lattice/cells_sim_ecp5.v [ 99%] Building share/lattice/cells_sim_xo2.v [ 99%] Building share/lattice/cells_sim_xo3.v [ 99%] Building share/lattice/cells_sim_xo3d.v [ 99%] Building share/lattice/cells_bb_ecp5.v [ 99%] Building share/lattice/cells_bb_xo2.v [ 99%] Building share/lattice/cells_bb_xo3.v [ 99%] Building share/lattice/cells_bb_xo3d.v [ 99%] Building share/lattice/lutrams_map.v [ 99%] Building share/lattice/lutrams.txt [ 99%] Building share/lattice/brams_map_16kd.v [ 99%] Building share/lattice/brams_16kd.txt [ 99%] Building share/lattice/brams_map_8kc.v [ 99%] Building share/lattice/brams_8kc.txt [ 99%] Building share/lattice/arith_map_ccu2c.v [ 99%] Building share/lattice/arith_map_ccu2d.v [ 99%] Building share/lattice/latches_map.v [ 99%] Building share/lattice/dsp_map_18x18.v [ 99%] Building share/nexus/cells_map.v [ 99%] Building share/nexus/cells_sim.v [ 99%] Building share/nexus/parse_init.vh [ 99%] Building share/nexus/cells_xtra.v [ 99%] Building share/nexus/lutrams_map.v [ 99%] Building share/nexus/lutrams.txt [ 99%] Building share/nexus/brams_map.v [ 99%] Building share/nexus/brams.txt [ 99%] Building share/nexus/lrams_map.v [ 99%] Building share/nexus/lrams.txt [ 99%] Building share/nexus/arith_map.v [ 99%] Building share/nexus/latches_map.v [ 99%] Building share/nexus/dsp_map.v [ 99%] Building share/quicklogic/common/cells_sim.v [ 99%] Building share/quicklogic/pp3/ffs_map.v [ 99%] Building share/quicklogic/pp3/lut_map.v [ 99%] Building share/quicklogic/pp3/latches_map.v [ 99%] Building share/quicklogic/pp3/cells_map.v [ 99%] Building share/quicklogic/pp3/cells_sim.v [ 99%] Building share/quicklogic/pp3/abc9_model.v [ 99%] Building share/quicklogic/pp3/abc9_map.v [ 99%] Building share/quicklogic/pp3/abc9_unmap.v [ 99%] Building share/quicklogic/qlf_k6n10f/arith_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams.txt [ 99%] Building share/quicklogic/qlf_k6n10f/libmap_brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/brams_sim.v [ 99%] Building techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/cells_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/ffs_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_sim.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/dsp_final_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v [ 99%] Building share/quicklogic/qlf_k6n10f/ufifo_ctl.v [ 99%] Building share/quicklogic/qlf_k6n10f/sram1024x18_mem.v [ 99%] Building share/sf2/arith_map.v [ 99%] Building share/sf2/cells_map.v [ 99%] Building share/sf2/cells_sim.v [ 99%] Building share/xilinx/cells_map.v [ 99%] Building share/xilinx/cells_sim.v [ 99%] Building share/xilinx/cells_xtra.v [ 99%] Building share/xilinx/lutrams_xcv.txt [ 99%] Building share/xilinx/lutrams_xcv_map.v [ 99%] Building share/xilinx/lutrams_xc5v.txt [ 99%] Building share/xilinx/lutrams_xcu.txt [ 99%] Building share/xilinx/lutrams_xc5v_map.v [ 99%] Building share/xilinx/brams_xcv.txt [ 99%] Building share/xilinx/brams_xcv_map.v [ 99%] Building share/xilinx/brams_defs.vh [ 99%] Building share/xilinx/brams_xc2v.txt [ 99%] Building share/xilinx/brams_xc2v_map.v [ 99%] Building share/xilinx/brams_xc3sda.txt [ 99%] Building share/xilinx/brams_xc3sda_map.v [ 99%] Building share/xilinx/brams_xc4v.txt [ 99%] Building share/xilinx/brams_xc4v_map.v [ 99%] Building share/xilinx/brams_xc5v_map.v [ 99%] Building share/xilinx/brams_xc6v_map.v [ 99%] Building share/xilinx/brams_xcu_map.v [ 99%] Building share/xilinx/urams.txt [ 99%] Building share/xilinx/urams_map.v [ 99%] Building share/xilinx/arith_map.v [ 99%] Building share/xilinx/ff_map.v [ 99%] Building share/xilinx/lut_map.v [ 99%] Building share/xilinx/mux_map.v [ 99%] Building share/xilinx/xc3s_mult_map.v [ 99%] Building share/xilinx/xc3sda_dsp_map.v [ 99%] Building share/xilinx/xc6s_dsp_map.v [ 99%] Building share/xilinx/xc4v_dsp_map.v [ 99%] Building share/xilinx/xc5v_dsp_map.v [ 99%] Building share/xilinx/xc7_dsp_map.v [ 99%] Building share/xilinx/xcu_dsp_map.v [ 99%] Building share/xilinx/abc9_model.v [ 99%] Building kernel/version_543faed9c.o [ 99%] Building kernel/python_wrappers.cc [ 99%] Building kernel/register.o [ 99%] Building frontends/rtlil/rtlil_parser.tab.o [ 99%] Building frontends/rtlil/rtlil_lexer.o [ 99%] Building frontends/verilog/verilog_parser.tab.o [ 99%] Building frontends/verilog/verilog_lexer.cc [ 99%] Building passes/pmgen/test_pmgen.o [ 99%] Building passes/pmgen/ice40_wrapcarry.o [ 99%] Building passes/pmgen/xilinx_dsp.o [ 99%] Building techlibs/quicklogic/ql_dsp_macc.o [ 99%] Building yosys-filterlib [ 99%] Building share/gatemate/lut_tree_cells.genlib [ 99%] Building share/gatemate/lut_tree_map.v [ 99%] Building share/quicklogic/qlf_k6n10f/bram_types_sim.v [ 99%] Building frontends/verilog/verilog_lexer.o [ 99%] Building kernel/python_wrappers.o [100%] Building yosys [100%] Building libyosys.so Build successful. ==> Entering fakeroot environment... ==> Starting package()... mkdir -p /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/bin strip -S /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/bin/yosys strip /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/bin/yosys-filterlib mkdir -p /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/share/yosys cp -r share/. /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/share/yosys/. mkdir -p /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/lib/yosys cp libyosys.so /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/lib/yosys/ strip -S /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/lib/yosys/libyosys.so mkdir -p /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys cp libyosys.so /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/libyosys.so cp misc/__init__.py /tmp/archlinux-ci/yosys-rb-build-mcFKuu3Q/yosys/pkg/yosys/usr/lib/python3.11/site-packages/pyosys/ ==> Tidying install... -> Removing libtool files... -> Purging unwanted files... -> Removing static library files... -> Stripping unneeded symbols from binaries and libraries... -> Compressing man and info pages... ==> Checking for packaging issues... ==> WARNING: Package contains reference to $srcdir usr/lib/python3.11/site-packages/pyosys/libyosys.so usr/lib/yosys/libyosys.so usr/bin/yosys-config usr/bin/yosys ==> Creating package "yosys"... -> Generating .PKGINFO file... -> Generating .BUILDINFO file... -> Generating .MTREE file... -> Compressing package... ==> Creating package "yosys-debug"... -> Generating .PKGINFO file... -> Generating .BUILDINFO file... -> Generating .MTREE file... -> Compressing package... ==> Leaving fakeroot environment. ==> Finished making: yosys 0.38-1 (Mon Mar 11 18:58:46 2024)