==> Cloning python-edalize ... Cloning into 'python-edalize'... ==> Collecting packager identity from makepkg.conf -> name : Reproducible Arch Linux tests -> email : reproducible@archlinux.org -> gpg-key : undefined -> protocol: https ==> Configuring python-edalize :: Synchronizing package databases... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 129k 100 129k 0 0 381k 0 --:--:-- --:--:-- --:--:-- 382k % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 1 8504k 1 115k 0 0 340k 0 0:00:24 --:--:-- 0:00:24 340k 100 8504k 100 8504k 0 0 21.4M 0 --:--:-- --:--:-- --:--:-- 21.4M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 139k 100 139k 0 0 411k 0 --:--:-- --:--:-- --:--:-- 413k :: Starting full system upgrade... resolving dependencies... looking for conflicting packages... Packages (1) tzdata-2024a-1 Total Download Size: 0.38 MiB Total Installed Size: 2.00 MiB Net Upgrade Size: 0.00 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 386k 100 386k 0 0 165M 0 --:--:-- --:--:-- --:--:-- 188M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... upgrading tzdata... :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin TMPDIR=/tmp/archlinux-ci/ SOURCE_DATE_EPOCH=1706983524 SHELL=/bin/bash SCHROOT_CHROOT_NAME=jenkins-reproducible-archlinux no_proxy=localhost,127.0.0.1 SCHROOT_COMMAND=bash -l -c SOURCE_DATE_EPOCH='1706983524' PATH='/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin' TMPDIR='/tmp/archlinux-ci/' printenv 2>&1 SCHROOT_SESSION_ID=archlinux-python-edalize-archlinuxrb-build-MHzQJklI PWD=/tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize LOGNAME=jenkins SCHROOT_ALIAS_NAME=jenkins-reproducible-archlinux SCHROOT_GROUP=jenkins SCHROOT_USER=jenkins ftp_proxy=http://127.0.0.1:3128 HOME=/var/lib/jenkins LANG=C https_proxy=http://127.0.0.1:3128 USER=jenkins FTP_PROXY=http://127.0.0.1:3128 SHLVL=1 HTTPS_PROXY=http://127.0.0.1:3128 HTTP_PROXY=http://127.0.0.1:3128 SCHROOT_GID=116 http_proxy=http://127.0.0.1:3128 DEBUGINFOD_URLS=https://debuginfod.archlinux.org SCHROOT_UID=108 HG=/usr/bin/hg _=/usr/sbin/printenv ==> Making package: python-edalize 0.5.4-1 (Sat Feb 3 18:05:43 2024) ==> Checking runtime dependencies... ==> Installing missing dependencies... resolving dependencies... looking for conflicting packages... Packages (3) python-markupsafe-2.1.4-1 python-jinja-1:3.1.3-1 python-pyparsing-3.1.1-1 Total Download Size: 0.52 MiB Total Installed Size: 3.26 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 22074 100 22074 0 0 18.4M 0 --:--:-- --:--:-- --:--:-- 21.0M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 295k 100 295k 0 0 141M 0 --:--:-- --:--:-- --:--:-- 288M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 214k 100 214k 0 0 107M 0 --:--:-- --:--:-- --:--:-- 209M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... installing python-markupsafe... installing python-jinja... Optional dependencies for python-jinja python-babel: for i18n support installing python-pyparsing... Optional dependencies for python-pyparsing python-railroad-diagrams: for generating Railroad Diagrams python-jinja: for generating Railroad Diagrams [installed] :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... ==> Checking buildtime dependencies... ==> Installing missing dependencies... resolving dependencies... looking for conflicting packages... Packages (19) python-annotated-types-0.6.0-1 python-autocommand-2.2.2-4 python-fastjsonschema-2.19.1-1 python-inflect-7.0.0-2 python-jaraco.context-4.3.0-3 python-jaraco.functools-4.0.0-1 python-jaraco.text-3.12.0-1 python-more-itertools-10.1.0-1 python-ordered-set-4.1.0-4 python-packaging-23.2-1 python-platformdirs-4.1.0-1 python-pydantic-2.5.3-1 python-pydantic-core-1:2.14.6-1 python-setuptools-1:69.0.3-1 python-tomli-2.0.1-3 python-trove-classifiers-2024.1.31-1 python-typing_extensions-4.9.0-1 python-validate-pyproject-0.13-1 python-setuptools-scm-8.0.4-1 Total Download Size: 3.71 MiB Total Installed Size: 18.76 MiB :: Proceed with installation? [Y/n] :: Retrieving packages... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 104k 100 104k 0 0 63.9M 0 --:--:-- --:--:-- --:--:-- 101M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 99813 100 99813 0 0 69.9M 0 --:--:-- --:--:-- --:--:-- 95.1M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 17501 100 17501 0 0 19.2M 0 --:--:-- --:--:-- --:--:-- 16.6M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 11682 100 11682 0 0 14.8M 0 --:--:-- --:--:-- --:--:-- 11.1M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 22922 100 22922 0 0 23.7M 0 --:--:-- --:--:-- --:--:-- 21.8M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 21709 100 21709 0 0 26.6M 0 --:--:-- --:--:-- --:--:-- 20.7M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 68504 100 68504 0 0 71.1M 0 --:--:-- --:--:-- --:--:-- 65.3M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 1569k 100 1569k 0 0 147M 0 --:--:-- --:--:-- --:--:-- 153M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 718k 100 718k 0 0 150M 0 --:--:-- --:--:-- --:--:-- 175M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 78819 100 78819 0 0 62.5M 0 --:--:-- --:--:-- --:--:-- 75.1M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 21029 100 21029 0 0 22.2M 0 --:--:-- --:--:-- --:--:-- 20.0M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 16181 100 16181 0 0 21.7M 0 --:--:-- --:--:-- --:--:-- 15.4M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 32853 100 32853 0 0 38.3M 0 --:--:-- --:--:-- --:--:-- 31.3M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 28235 100 28235 0 0 38.2M 0 --:--:-- --:--:-- --:--:-- 26.9M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 51605 100 51605 0 0 53.9M 0 --:--:-- --:--:-- --:--:-- 49.2M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 15390 100 15390 0 0 17.5M 0 --:--:-- --:--:-- --:--:-- 14.6M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 73796 100 73796 0 0 62.7M 0 --:--:-- --:--:-- --:--:-- 70.3M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 774k 100 774k 0 0 143M 0 --:--:-- --:--:-- --:--:-- 151M % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 89636 100 89636 0 0 82.7M 0 --:--:-- --:--:-- --:--:-- 85.4M checking keyring... checking package integrity... loading package files... checking for file conflicts... checking available disk space... :: Processing package changes... installing python-packaging... installing python-more-itertools... installing python-jaraco.functools... installing python-jaraco.context... installing python-autocommand... installing python-annotated-types... installing python-typing_extensions... installing python-pydantic-core... installing python-pydantic... Optional dependencies for python-pydantic mypy: for type validation with mypy python-dotenv: for .env file support python-email-validator: for email validation python-hypothesis: for hypothesis plugin when using legacy v1 installing python-inflect... installing python-jaraco.text... installing python-ordered-set... installing python-platformdirs... installing python-tomli... installing python-fastjsonschema... installing python-trove-classifiers... installing python-validate-pyproject... installing python-setuptools... installing python-setuptools-scm... :: Running post-transaction hooks... (1/1) Arming ConditionNeedsUpdate... ==> Retrieving sources... -> Downloading python-edalize-0.5.4.tar.gz... % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 0 0 0 0 0 0 0 0 --:--:-- --:--:-- --:--:-- 0 100 353k 0 353k 0 0 1002k 0 --:--:-- --:--:-- --:--:-- 1002k ==> Validating source files with sha512sums... python-edalize-0.5.4.tar.gz ... Passed ==> Extracting sources... -> Extracting python-edalize-0.5.4.tar.gz with bsdtar ==> Starting prepare()... ==> Starting build()... /usr/lib/python3.11/site-packages/setuptools/__init__.py:80: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated. !! ******************************************************************************** Requirements should be satisfied by a PEP 517 installer. If you are using pip, you can try `pip install --use-pep517`. ******************************************************************************** !! dist.fetch_build_eggs(dist.setup_requires) WARNING: The wheel package is not available. WARNING setuptools_scm.pyproject_reading toml section missing 'pyproject.toml does not contain a tool.setuptools_scm section' running build running build_py creating build creating build/lib creating build/lib/edalize copying edalize/version.py -> build/lib/edalize copying edalize/yosys.py -> build/lib/edalize copying edalize/xsim.py -> build/lib/edalize copying edalize/xcelium.py -> build/lib/edalize copying edalize/vunit_hooks.py -> build/lib/edalize copying edalize/vunit.py -> build/lib/edalize copying edalize/vpr.py -> build/lib/edalize copying edalize/vivado_reporting.py -> build/lib/edalize copying edalize/vivado.py -> build/lib/edalize copying edalize/verilator.py -> build/lib/edalize copying edalize/veriblelint.py -> build/lib/edalize copying edalize/veribleformat.py -> build/lib/edalize copying edalize/vcs.py -> build/lib/edalize copying edalize/utils.py -> build/lib/edalize copying edalize/trellis.py -> build/lib/edalize copying edalize/symbiyosys.py -> build/lib/edalize copying edalize/symbiflow.py -> build/lib/edalize copying edalize/spyglass.py -> build/lib/edalize copying edalize/slang.py -> build/lib/edalize copying edalize/sandpipersaas.py -> build/lib/edalize copying edalize/rivierapro.py -> build/lib/edalize copying edalize/reporting.py -> build/lib/edalize copying edalize/radiant.py -> build/lib/edalize copying edalize/questaformal.py -> build/lib/edalize copying edalize/quartus_reporting.py -> build/lib/edalize copying edalize/quartus.py -> build/lib/edalize copying edalize/oxide.py -> build/lib/edalize copying edalize/openroad.py -> build/lib/edalize copying edalize/openlane.py -> build/lib/edalize copying edalize/openfpga.py -> build/lib/edalize copying edalize/nextpnr.py -> build/lib/edalize copying edalize/morty.py -> build/lib/edalize copying edalize/modelsim.py -> build/lib/edalize copying edalize/mistral.py -> build/lib/edalize copying edalize/libero.py -> build/lib/edalize copying edalize/isim.py -> build/lib/edalize copying edalize/ise_reporting.py -> build/lib/edalize copying edalize/ise.py -> build/lib/edalize copying edalize/icestorm.py -> build/lib/edalize copying edalize/icarus.py -> build/lib/edalize copying edalize/ghdl.py -> build/lib/edalize copying edalize/genus.py -> build/lib/edalize copying edalize/gatemate.py -> build/lib/edalize copying edalize/f4pga.py -> build/lib/edalize copying edalize/edatool.py -> build/lib/edalize copying edalize/diamond.py -> build/lib/edalize copying edalize/design_compiler.py -> build/lib/edalize copying edalize/ascentlint.py -> build/lib/edalize copying edalize/apicula.py -> build/lib/edalize creating build/lib/edalize/tools copying edalize/tools/yosys.py -> build/lib/edalize/tools copying edalize/tools/vpr.py -> build/lib/edalize/tools copying edalize/tools/vivado.py -> build/lib/edalize/tools copying edalize/tools/verilator.py -> build/lib/edalize/tools copying edalize/tools/sv2v.py -> build/lib/edalize/tools copying edalize/tools/surelog.py -> build/lib/edalize/tools copying edalize/tools/sandpipersaas.py -> build/lib/edalize/tools copying edalize/tools/nextpnr.py -> build/lib/edalize/tools copying edalize/tools/icetime.py -> build/lib/edalize/tools copying edalize/tools/icepack.py -> build/lib/edalize/tools copying edalize/tools/icarus.py -> build/lib/edalize/tools copying edalize/tools/ghdl.py -> build/lib/edalize/tools copying edalize/tools/efinity.py -> build/lib/edalize/tools copying edalize/tools/edatool.py -> build/lib/edalize/tools creating build/lib/edalize/flows copying edalize/flows/vpr.py -> build/lib/edalize/flows copying edalize/flows/vivado.py -> build/lib/edalize/flows copying edalize/flows/sim.py -> build/lib/edalize/flows copying edalize/flows/lint.py -> build/lib/edalize/flows copying edalize/flows/icestorm.py -> build/lib/edalize/flows copying edalize/flows/gls.py -> build/lib/edalize/flows copying edalize/flows/generic.py -> build/lib/edalize/flows copying edalize/flows/f4pga.py -> build/lib/edalize/flows copying edalize/flows/efinity.py -> build/lib/edalize/flows copying edalize/flows/edaflow.py -> build/lib/edalize/flows creating build/lib/edalize/templates creating build/lib/edalize/templates/yosys copying edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/templates/yosys copying edalize/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/templates/yosys creating build/lib/edalize/templates/openfpga copying edalize/templates/openfpga/task_simulation.conf.j2 -> build/lib/edalize/templates/openfpga creating build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/Makefile.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-project.prj.j2 -> build/lib/edalize/templates/spyglass copying edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> build/lib/edalize/templates/spyglass creating build/lib/edalize/templates/vcs copying edalize/templates/vcs/Makefile.j2 -> build/lib/edalize/templates/vcs creating build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/templates/vivado copying edalize/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/templates/vivado creating build/lib/edalize/templates/vunit copying edalize/templates/vunit/run.py.j2 -> build/lib/edalize/templates/vunit creating build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-project.tcl.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-std-makefile.j2 -> build/lib/edalize/templates/quartus copying edalize/templates/quartus/quartus-pro-makefile.j2 -> build/lib/edalize/templates/quartus creating build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/Makefile.j2 -> build/lib/edalize/templates/ascentlint copying edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> build/lib/edalize/templates/ascentlint creating build/lib/edalize/templates/libero copying edalize/templates/libero/libero-project.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-run.tcl.j2 -> build/lib/edalize/templates/libero copying edalize/templates/libero/libero-syn-user.tcl.j2 -> build/lib/edalize/templates/libero creating build/lib/edalize/templates/ghdl copying edalize/templates/ghdl/Makefile.j2 -> build/lib/edalize/templates/ghdl creating build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-makefile.j2 -> build/lib/edalize/templates/openlane copying edalize/templates/openlane/openlane-script-tcl.j2 -> build/lib/edalize/templates/openlane creating build/lib/edalize/templates/design_compiler copying edalize/templates/design_compiler/design-compiler-makefile.j2 -> build/lib/edalize/templates/design_compiler copying edalize/templates/design_compiler/design-compiler-project.tcl.j2 -> build/lib/edalize/templates/design_compiler copying edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2 -> build/lib/edalize/templates/design_compiler creating build/lib/edalize/templates/genus copying edalize/templates/genus/genus-makefile.j2 -> build/lib/edalize/templates/genus copying edalize/templates/genus/genus-project.tcl.j2 -> build/lib/edalize/templates/genus copying edalize/templates/genus/genus-read-sources.tcl.j2 -> build/lib/edalize/templates/genus creating build/lib/edalize/tools/templates creating build/lib/edalize/tools/templates/efinity copying edalize/tools/templates/efinity/isf_to_xml.py -> build/lib/edalize/tools/templates/efinity copying edalize/tools/templates/efinity/newproj_tmpl.xml.j2 -> build/lib/edalize/tools/templates/efinity creating build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> build/lib/edalize/tools/templates/yosys copying edalize/tools/templates/yosys/yosys-script-tcl.j2 -> build/lib/edalize/tools/templates/yosys creating build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-netlist.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-program.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-project.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-run.tcl.j2 -> build/lib/edalize/tools/templates/vivado copying edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> build/lib/edalize/tools/templates/vivado running build_scripts creating build/scripts-3.11 copying and adjusting scripts/el_docker -> build/scripts-3.11 changing mode of build/scripts-3.11/el_docker from 644 to 755 ==> Entering fakeroot environment... ==> Starting package()... /usr/lib/python3.11/site-packages/setuptools/__init__.py:80: _DeprecatedInstaller: setuptools.installer and fetch_build_eggs are deprecated. !! ******************************************************************************** Requirements should be satisfied by a PEP 517 installer. If you are using pip, you can try `pip install --use-pep517`. ******************************************************************************** !! dist.fetch_build_eggs(dist.setup_requires) WARNING: The wheel package is not available. WARNING setuptools_scm.pyproject_reading toml section missing 'pyproject.toml does not contain a tool.setuptools_scm section' running install /usr/lib/python3.11/site-packages/setuptools/_distutils/cmd.py:66: SetuptoolsDeprecationWarning: setup.py install is deprecated. !! ******************************************************************************** Please avoid running ``setup.py`` directly. Instead, use pypa/build, pypa/installer or other standards-based tools. See https://blog.ganssle.io/articles/2021/10/setup-py-deprecated.html for details. ******************************************************************************** !! self.initialize_options() running install_lib creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11 creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/genus copying build/lib/edalize/templates/genus/genus-read-sources.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/genus copying build/lib/edalize/templates/genus/genus-project.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/genus copying build/lib/edalize/templates/genus/genus-makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/genus creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/design_compiler copying build/lib/edalize/templates/design_compiler/design-compiler-read-sources.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/design_compiler copying build/lib/edalize/templates/design_compiler/design-compiler-project.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/design_compiler copying build/lib/edalize/templates/design_compiler/design-compiler-makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/design_compiler creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/openlane copying build/lib/edalize/templates/openlane/openlane-script-tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/openlane copying build/lib/edalize/templates/openlane/openlane-makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/openlane creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/ghdl copying build/lib/edalize/templates/ghdl/Makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/ghdl creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/libero copying build/lib/edalize/templates/libero/libero-syn-user.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/libero copying build/lib/edalize/templates/libero/libero-run.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/libero copying build/lib/edalize/templates/libero/libero-project.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/libero creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/ascentlint copying build/lib/edalize/templates/ascentlint/run-ascentlint.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/ascentlint copying build/lib/edalize/templates/ascentlint/Makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/ascentlint creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-pro-makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-std-makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/quartus copying build/lib/edalize/templates/quartus/quartus-project.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/quartus creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vunit copying build/lib/edalize/templates/vunit/run.py.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vunit creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-synth.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-run.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-project.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vivado copying build/lib/edalize/templates/vivado/vivado-program.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vivado creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vcs copying build/lib/edalize/templates/vcs/Makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/vcs creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/spyglass-run-goal.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/spyglass-project.prj.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/spyglass copying build/lib/edalize/templates/spyglass/Makefile.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/spyglass creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/openfpga copying build/lib/edalize/templates/openfpga/task_simulation.conf.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/openfpga creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/yosys copying build/lib/edalize/templates/yosys/yosys-script-tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/yosys copying build/lib/edalize/templates/yosys/edalize_yosys_procs.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/templates/yosys creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/edaflow.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/efinity.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/f4pga.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/generic.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/gls.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/icestorm.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/lint.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/sim.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/vivado.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows copying build/lib/edalize/flows/vpr.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-synth.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-run.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-project.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-program.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado copying build/lib/edalize/tools/templates/vivado/vivado-netlist.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/vivado creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/yosys copying build/lib/edalize/tools/templates/yosys/yosys-script-tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/yosys copying build/lib/edalize/tools/templates/yosys/edalize_yosys_procs.tcl.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/yosys creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/efinity copying build/lib/edalize/tools/templates/efinity/newproj_tmpl.xml.j2 -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/efinity copying build/lib/edalize/tools/templates/efinity/isf_to_xml.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/efinity copying build/lib/edalize/tools/edatool.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/efinity.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/ghdl.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/icarus.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/icepack.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/icetime.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/nextpnr.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/sandpipersaas.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/surelog.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/sv2v.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/verilator.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/vivado.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/vpr.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/tools/yosys.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools copying build/lib/edalize/apicula.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ascentlint.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/design_compiler.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/diamond.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/edatool.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/f4pga.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/gatemate.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/genus.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ghdl.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/icarus.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/icestorm.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ise.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/ise_reporting.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/isim.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/libero.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/mistral.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/modelsim.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/morty.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/nextpnr.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/openfpga.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/openlane.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/openroad.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/oxide.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/quartus.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/quartus_reporting.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/questaformal.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/radiant.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/reporting.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/rivierapro.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/sandpipersaas.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/slang.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/spyglass.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/symbiflow.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/symbiyosys.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/trellis.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/utils.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vcs.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/veribleformat.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/veriblelint.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/verilator.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vivado.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vivado_reporting.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vpr.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vunit.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/vunit_hooks.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/xcelium.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/xsim.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/yosys.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize copying build/lib/edalize/version.py -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/edaflow.py to edaflow.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/efinity.py to efinity.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/f4pga.py to f4pga.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/generic.py to generic.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/gls.py to gls.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/icestorm.py to icestorm.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/lint.py to lint.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/sim.py to sim.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/vivado.py to vivado.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/flows/vpr.py to vpr.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/templates/efinity/isf_to_xml.py to isf_to_xml.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/edatool.py to edatool.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/efinity.py to efinity.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/ghdl.py to ghdl.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/icarus.py to icarus.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/icepack.py to icepack.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/icetime.py to icetime.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/nextpnr.py to nextpnr.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/sandpipersaas.py to sandpipersaas.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/surelog.py to surelog.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/sv2v.py to sv2v.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/verilator.py to verilator.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/vivado.py to vivado.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/vpr.py to vpr.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/tools/yosys.py to yosys.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/apicula.py to apicula.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/ascentlint.py to ascentlint.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/design_compiler.py to design_compiler.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/diamond.py to diamond.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/edatool.py to edatool.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/f4pga.py to f4pga.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/gatemate.py to gatemate.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/genus.py to genus.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/ghdl.py to ghdl.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/icarus.py to icarus.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/icestorm.py to icestorm.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/ise.py to ise.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/ise_reporting.py to ise_reporting.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/isim.py to isim.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/libero.py to libero.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/mistral.py to mistral.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/modelsim.py to modelsim.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/morty.py to morty.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/nextpnr.py to nextpnr.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/openfpga.py to openfpga.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/openlane.py to openlane.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/openroad.py to openroad.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/oxide.py to oxide.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/quartus.py to quartus.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/quartus_reporting.py to quartus_reporting.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/questaformal.py to questaformal.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/radiant.py to radiant.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/reporting.py to reporting.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/rivierapro.py to rivierapro.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/sandpipersaas.py to sandpipersaas.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/slang.py to slang.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/spyglass.py to spyglass.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/symbiflow.py to symbiflow.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/symbiyosys.py to symbiyosys.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/trellis.py to trellis.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/utils.py to utils.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/vcs.py to vcs.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/veribleformat.py to veribleformat.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/veriblelint.py to veriblelint.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/verilator.py to verilator.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/vivado.py to vivado.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/vivado_reporting.py to vivado_reporting.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/vpr.py to vpr.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/vunit.py to vunit.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/vunit_hooks.py to vunit_hooks.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/xcelium.py to xcelium.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/xsim.py to xsim.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/yosys.py to yosys.cpython-311.pyc byte-compiling /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize/version.py to version.cpython-311.pyc writing byte-compilation script '/tmp/archlinux-ci/tmp53ujjn26.py' /usr/sbin/python /tmp/archlinux-ci/tmp53ujjn26.py removing /tmp/archlinux-ci/tmp53ujjn26.py running install_egg_info running egg_info creating edalize.egg-info writing edalize.egg-info/PKG-INFO writing dependency_links to edalize.egg-info/dependency_links.txt writing requirements to edalize.egg-info/requires.txt writing top-level names to edalize.egg-info/top_level.txt writing manifest file 'edalize.egg-info/SOURCES.txt' reading manifest file 'edalize.egg-info/SOURCES.txt' adding license file 'LICENSE' writing manifest file 'edalize.egg-info/SOURCES.txt' Copying edalize.egg-info to /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/lib/python3.11/site-packages/edalize-0.5.4-py3.11.egg-info running install_scripts creating /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/bin copying build/scripts-3.11/el_docker -> /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/bin changing mode of /tmp/archlinux-ci/python-edalize-archlinuxrb-build-MHzQJklI/python-edalize/pkg/python-edalize/usr/bin/el_docker to 755 ==> Tidying install... -> Removing libtool files... -> Purging unwanted files... -> Removing static library files... -> Stripping unneeded symbols from binaries and libraries... -> Compressing man and info pages... ==> Checking for packaging issues... ==> Creating package "python-edalize"... -> Generating .PKGINFO file... -> Generating .BUILDINFO file... -> Generating .MTREE file... -> Compressing package... ==> Leaving fakeroot environment. ==> Finished making: python-edalize 0.5.4-1 (Sat Feb 3 18:05:51 2024)