Fri Jun 9 18:58:24 UTC 2023 I: starting to build yosys/bookworm/armhf on jenkins on '2023-06-09 18:57' Fri Jun 9 18:58:24 UTC 2023 I: The jenkins build log is/was available at https://jenkins.debian.net/userContent/reproducible/debian/build_service/armhf_44/2395/console.log Fri Jun 9 18:58:24 UTC 2023 I: Downloading source for bookworm/yosys=0.23-6 --2023-06-09 18:58:24-- http://cdn-fastly.deb.debian.org/debian/pool/main/y/yosys/yosys_0.23-6.dsc Connecting to 78.137.99.97:3128... connected. Proxy request sent, awaiting response... 200 OK Length: 2520 (2.5K) [text/prs.lines.tag] Saving to: ‘yosys_0.23-6.dsc’ 0K .. 100% 345M=0s 2023-06-09 18:58:24 (345 MB/s) - ‘yosys_0.23-6.dsc’ saved [2520/2520] Fri Jun 9 18:58:24 UTC 2023 I: yosys_0.23-6.dsc -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 Format: 3.0 (quilt) Source: yosys Binary: yosys, yosys-dev, yosys-doc Architecture: any all Version: 0.23-6 Maintainer: Debian Science Maintainers Uploaders: Ruben Undheim , Sebastian Kuzminsky , Daniel Gröber Homepage: https://github.com/YosysHQ/yosys Standards-Version: 4.6.1 Vcs-Browser: https://salsa.debian.org/science-team/yosys Vcs-Git: https://salsa.debian.org/science-team/yosys.git Testsuite: autopkgtest Build-Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20221019git70cb339+dfsg-3) Build-Depends-Indep: texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, lmodern, graphviz Package-List: yosys deb electronics optional arch=any yosys-dev deb electronics optional arch=any yosys-doc deb doc optional arch=all Checksums-Sha1: 9342699bb2c6291bde6c856de887b66d48da022a 2369493 yosys_0.23.orig.tar.gz b47ddbe165f67f46be47be32e2c8012f0c6d712e 18000 yosys_0.23-6.debian.tar.xz Checksums-Sha256: ec982a9393b3217deecfbd3cf9a64109b85310a949e46a51cf2e07fba1071aeb 2369493 yosys_0.23.orig.tar.gz 024f699915338300764bb4f82fb2de764edeb1f383832c6f447e6056c909f089 18000 yosys_0.23-6.debian.tar.xz Files: 2d9b5d14898c01b8a99cf531a7163a48 2369493 yosys_0.23.orig.tar.gz 4502da434f77cebe5a54c27f93106aa7 18000 yosys_0.23-6.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCAAdFiEEV6G/FbT2+ZuJ7bKf05SBrh55rPcFAmOLysoACgkQ05SBrh55 rPewwhAAuLnvwAZHpfZrs3ZHkE1CmOAa2DRgA40HNrw5M78JRH7MLwuGnz7Nby17 3N6ToGUuL6+tw63SPqHA2J+hhtNgFfHf6aXaPjPxNVCNrGIIa9agFgZnighFWt8F FzBg4drARuJ1XcvoiIyK4NVUSxCwTZ/6Yj8/MANIf0Jg7bAXOadJKqBPsctdVL3b U1UF4UWqE/s9Tt361dBRKsphRb2nNPb87Vu6qrozlC3aad63ne8wDwrV8BXJ2pF4 BTngZpW4uRNMQDONPbLQG/uRRP5cjuIoqsjgZtlUW2Cpw/J+X8VV2VXbDfS2ql/l CRl5czw0PzaPZZl7YAZbysFcaV1C99VLn2aIo0fqabDUWGfS01dG8BPa8eqCbpkQ PRX65cLaiavYqcdeKOCRlLIlx8gzuziNpfGhxtaKS5mBRsiLNAngE3ujQyaOaYjr i0QUxSV1O/1ueso4Sq1exEmYWKCV82MpZh4Eu1v3nofl1Yyh6+s0MnqTsrA+xRot 3cmD2lNp1W/wDnjGtza9iMurxqQ14tyrLPn3CSJeCGImAQcmBGv+4fUjmImwBtJj CpZNb+R8Cw7a1D5sLEsjqa6z+HCAzCkLEaoDrqdozPsOInpRdWdgq9oXeyLYrYLE C3Ifa6VwvqkC7zgU0D5O9/CY15zIdxuyIL01+E7g0um6Lv4GBB4= =GaLz -----END PGP SIGNATURE----- Fri Jun 9 18:58:24 UTC 2023 I: Checking whether the package is not for us Fri Jun 9 18:58:24 UTC 2023 I: Starting 1st build on remote node cbxi4pro0-armhf-rb.debian.net. Fri Jun 9 18:58:24 UTC 2023 I: Preparing to do remote build '1' on cbxi4pro0-armhf-rb.debian.net. Sat Jun 10 03:24:03 UTC 2023 I: Deleting $TMPDIR on cbxi4pro0-armhf-rb.debian.net. I: pbuilder: network access will be disabled during build I: Current time: Fri Jun 9 06:58:40 -12 2023 I: pbuilder-time-stamp: 1686337120 I: Building the build Environment I: extracting base tarball [/var/cache/pbuilder/bookworm-reproducible-base.tgz] I: copying local configuration W: --override-config is not set; not updating apt.conf Read the manpage for details. I: mounting /proc filesystem I: mounting /sys filesystem I: creating /{dev,run}/shm I: mounting /dev/pts filesystem I: redirecting /dev/ptmx to /dev/pts/ptmx I: policy-rc.d already exists I: Copying source file I: copying [yosys_0.23-6.dsc] I: copying [./yosys_0.23.orig.tar.gz] I: copying [./yosys_0.23-6.debian.tar.xz] I: Extracting source gpgv: Signature made Sat Dec 3 10:16:42 2022 -12 gpgv: using RSA key 57A1BF15B4F6F99B89EDB29FD39481AE1E79ACF7 gpgv: Can't check signature: No public key dpkg-source: warning: cannot verify inline signature for ./yosys_0.23-6.dsc: no acceptable signature found dpkg-source: info: extracting yosys in yosys-0.23 dpkg-source: info: unpacking yosys_0.23.orig.tar.gz dpkg-source: info: unpacking yosys_0.23-6.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying switch-to-free-font.patch dpkg-source: info: applying kfreebsd-support.patch dpkg-source: info: applying 0007-Disable-pretty-build.patch dpkg-source: info: applying 0009-Some-spelling-errors-fixed.patch dpkg-source: info: applying 0010-Fix-reproducibility-of-PDF-documents-in-yosys-doc.patch dpkg-source: info: applying 0010-Fix-adding-of-sys.path-in-yosys-smtbmc.patch dpkg-source: info: applying 0011-Do-not-show-g-build-flags-in-Version-string.patch dpkg-source: info: applying 0012-Skip-non-deterministic-test-causing-random-FTBFS-on-.patch dpkg-source: info: applying 0014-Set-path-to-berkeley-abc-instead-of-relative-path-to.patch dpkg-source: info: applying 0017-Support-plugin-loading-from-libdir.patch dpkg-source: info: applying 0018-Fix-blhc-CLFAGS-warning.patch dpkg-source: info: applying 0019-Fix-fstapi-mips64el-crash.patch dpkg-source: info: applying 0020-autotest-Print-log-on-error.patch I: Not using root during the build. I: Installing the build-deps I: user script /srv/workspace/pbuilder/22085/tmp/hooks/D02_print_environment starting I: set BUILDDIR='/build' BUILDUSERGECOS='first user,first room,first work-phone,first home-phone,first other' BUILDUSERNAME='pbuilder1' BUILD_ARCH='armhf' DEBIAN_FRONTEND='noninteractive' DEB_BUILD_OPTIONS='buildinfo=+all reproducible=+all parallel=3 ' DISTRIBUTION='bookworm' HOME='/root' HOST_ARCH='armhf' IFS=' ' INVOCATION_ID='322d2a9af1d94910af4a371fddd5e094' LANG='C' LANGUAGE='en_US:en' LC_ALL='C' MAIL='/var/mail/root' OPTIND='1' PATH='/usr/sbin:/usr/bin:/sbin:/bin:/usr/games' PBCURRENTCOMMANDLINEOPERATION='build' PBUILDER_OPERATION='build' PBUILDER_PKGDATADIR='/usr/share/pbuilder' PBUILDER_PKGLIBDIR='/usr/lib/pbuilder' PBUILDER_SYSCONFDIR='/etc' PPID='22085' PS1='# ' PS2='> ' PS4='+ ' PWD='/' SHELL='/bin/bash' SHLVL='2' SUDO_COMMAND='/usr/bin/timeout -k 18.1h 18h /usr/bin/ionice -c 3 /usr/bin/nice /usr/sbin/pbuilder --build --configfile /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/pbuilderrc_pah1 --distribution bookworm --hookdir /etc/pbuilder/first-build-hooks --debbuildopts -b --basetgz /var/cache/pbuilder/bookworm-reproducible-base.tgz --buildresult /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/b1 --logfile b1/build.log yosys_0.23-6.dsc' SUDO_GID='113' SUDO_UID='107' SUDO_USER='jenkins' TERM='unknown' TZ='/usr/share/zoneinfo/Etc/GMT+12' USER='root' _='/usr/bin/systemd-run' http_proxy='http://10.0.0.15:3142/' I: uname -a Linux cbxi4pro0 5.10.0-23-armmp #1 SMP Debian 5.10.179-1 (2023-05-12) armv7l GNU/Linux I: ls -l /bin total 5072 -rwxr-xr-x 1 root root 838488 Apr 23 09:24 bash -rwxr-xr-x 3 root root 67144 Sep 18 2022 bunzip2 -rwxr-xr-x 3 root root 67144 Sep 18 2022 bzcat lrwxrwxrwx 1 root root 6 Sep 18 2022 bzcmp -> bzdiff -rwxr-xr-x 1 root root 2225 Sep 18 2022 bzdiff lrwxrwxrwx 1 root root 6 Sep 18 2022 bzegrep -> bzgrep -rwxr-xr-x 1 root root 4893 Nov 27 2021 bzexe lrwxrwxrwx 1 root root 6 Sep 18 2022 bzfgrep -> bzgrep -rwxr-xr-x 1 root root 3775 Sep 18 2022 bzgrep -rwxr-xr-x 3 root root 67144 Sep 18 2022 bzip2 -rwxr-xr-x 1 root root 67112 Sep 18 2022 bzip2recover lrwxrwxrwx 1 root root 6 Sep 18 2022 bzless -> bzmore -rwxr-xr-x 1 root root 1297 Sep 18 2022 bzmore -rwxr-xr-x 1 root root 67632 Sep 20 2022 cat -rwxr-xr-x 1 root root 67676 Sep 20 2022 chgrp -rwxr-xr-x 1 root root 67644 Sep 20 2022 chmod -rwxr-xr-x 1 root root 67684 Sep 20 2022 chown -rwxr-xr-x 1 root root 133532 Sep 20 2022 cp -rwxr-xr-x 1 root root 132868 Jan 5 01:20 dash -rwxr-xr-x 1 root root 133220 Sep 20 2022 date -rwxr-xr-x 1 root root 67732 Sep 20 2022 dd -rwxr-xr-x 1 root root 68104 Sep 20 2022 df -rwxr-xr-x 1 root root 133632 Sep 20 2022 dir -rwxr-xr-x 1 root root 59128 Mar 22 21:02 dmesg lrwxrwxrwx 1 root root 8 Dec 19 01:33 dnsdomainname -> hostname lrwxrwxrwx 1 root root 8 Dec 19 01:33 domainname -> hostname -rwxr-xr-x 1 root root 67560 Sep 20 2022 echo -rwxr-xr-x 1 root root 41 Jan 24 02:43 egrep -rwxr-xr-x 1 root root 67548 Sep 20 2022 false -rwxr-xr-x 1 root root 41 Jan 24 02:43 fgrep -rwxr-xr-x 1 root root 55748 Mar 22 21:02 findmnt -rwsr-xr-x 1 root root 26208 Mar 22 20:15 fusermount -rwxr-xr-x 1 root root 128608 Jan 24 02:43 grep -rwxr-xr-x 2 root root 2346 Apr 9 2022 gunzip -rwxr-xr-x 1 root root 6447 Apr 9 2022 gzexe -rwxr-xr-x 1 root root 64220 Apr 9 2022 gzip -rwxr-xr-x 1 root root 67032 Dec 19 01:33 hostname -rwxr-xr-x 1 root root 67720 Sep 20 2022 ln -rwxr-xr-x 1 root root 35132 Mar 22 21:51 login -rwxr-xr-x 1 root root 133632 Sep 20 2022 ls -rwxr-xr-x 1 root root 136808 Mar 22 21:02 lsblk -rwxr-xr-x 1 root root 67800 Sep 20 2022 mkdir -rwxr-xr-x 1 root root 67764 Sep 20 2022 mknod -rwxr-xr-x 1 root root 67596 Sep 20 2022 mktemp -rwxr-xr-x 1 root root 38504 Mar 22 21:02 more -rwsr-xr-x 1 root root 38496 Mar 22 21:02 mount -rwxr-xr-x 1 root root 9824 Mar 22 21:02 mountpoint -rwxr-xr-x 1 root root 133532 Sep 20 2022 mv lrwxrwxrwx 1 root root 8 Dec 19 01:33 nisdomainname -> hostname lrwxrwxrwx 1 root root 14 Apr 2 18:25 pidof -> /sbin/killall5 -rwxr-xr-x 1 root root 67608 Sep 20 2022 pwd lrwxrwxrwx 1 root root 4 Apr 23 09:24 rbash -> bash -rwxr-xr-x 1 root root 67600 Sep 20 2022 readlink -rwxr-xr-x 1 root root 67672 Sep 20 2022 rm -rwxr-xr-x 1 root root 67600 Sep 20 2022 rmdir -rwxr-xr-x 1 root root 67400 Nov 2 2022 run-parts -rwxr-xr-x 1 root root 133372 Jan 5 07:55 sed lrwxrwxrwx 1 root root 4 Jan 5 01:20 sh -> dash -rwxr-xr-x 1 root root 67584 Sep 20 2022 sleep -rwxr-xr-x 1 root root 67644 Sep 20 2022 stty -rwsr-xr-x 1 root root 50800 Mar 22 21:02 su -rwxr-xr-x 1 root root 67584 Sep 20 2022 sync -rwxr-xr-x 1 root root 336764 Apr 6 02:25 tar -rwxr-xr-x 1 root root 67144 Nov 2 2022 tempfile -rwxr-xr-x 1 root root 133224 Sep 20 2022 touch -rwxr-xr-x 1 root root 67548 Sep 20 2022 true -rwxr-xr-x 1 root root 9768 Mar 22 20:15 ulockmgr_server -rwsr-xr-x 1 root root 22108 Mar 22 21:02 umount -rwxr-xr-x 1 root root 67572 Sep 20 2022 uname -rwxr-xr-x 2 root root 2346 Apr 9 2022 uncompress -rwxr-xr-x 1 root root 133632 Sep 20 2022 vdir -rwxr-xr-x 1 root root 42608 Mar 22 21:02 wdctl lrwxrwxrwx 1 root root 8 Dec 19 01:33 ypdomainname -> hostname -rwxr-xr-x 1 root root 1984 Apr 9 2022 zcat -rwxr-xr-x 1 root root 1678 Apr 9 2022 zcmp -rwxr-xr-x 1 root root 6460 Apr 9 2022 zdiff -rwxr-xr-x 1 root root 29 Apr 9 2022 zegrep -rwxr-xr-x 1 root root 29 Apr 9 2022 zfgrep -rwxr-xr-x 1 root root 2081 Apr 9 2022 zforce -rwxr-xr-x 1 root root 8103 Apr 9 2022 zgrep -rwxr-xr-x 1 root root 2206 Apr 9 2022 zless -rwxr-xr-x 1 root root 1842 Apr 9 2022 zmore -rwxr-xr-x 1 root root 4577 Apr 9 2022 znew I: user script /srv/workspace/pbuilder/22085/tmp/hooks/D02_print_environment finished -> Attempting to satisfy build-dependencies -> Creating pbuilder-satisfydepends-dummy package Package: pbuilder-satisfydepends-dummy Version: 0.invalid.0 Architecture: armhf Maintainer: Debian Pbuilder Team Description: Dummy package to satisfy dependencies with aptitude - created by pbuilder This package was created automatically by pbuilder to satisfy the build-dependencies of the package being currently built. Depends: debhelper-compat (= 13), dh-python, tcl-dev, libreadline-dev, bison, flex, gawk, libffi-dev, pkg-config, txt2man, iverilog (>= 10.1), python3, berkeley-abc (>= 1.01+20221019git70cb339+dfsg-3), texlive-base, texlive-plain-generic, texlive-fonts-recommended, texlive-fonts-extra, texlive-latex-base, texlive-latex-extra, texlive-font-utils, texlive-science, texlive-publishers, texlive-bibtex-extra, lmodern, graphviz dpkg-deb: building package 'pbuilder-satisfydepends-dummy' in '/tmp/satisfydepends-aptitude/pbuilder-satisfydepends-dummy.deb'. Selecting previously unselected package pbuilder-satisfydepends-dummy. (Reading database ... 19324 files and directories currently installed.) Preparing to unpack .../pbuilder-satisfydepends-dummy.deb ... Unpacking pbuilder-satisfydepends-dummy (0.invalid.0) ... dpkg: pbuilder-satisfydepends-dummy: dependency problems, but configuring anyway as you requested: pbuilder-satisfydepends-dummy depends on debhelper-compat (= 13); however: Package debhelper-compat is not installed. pbuilder-satisfydepends-dummy depends on dh-python; however: Package dh-python is not installed. pbuilder-satisfydepends-dummy depends on tcl-dev; however: Package tcl-dev is not installed. pbuilder-satisfydepends-dummy depends on libreadline-dev; however: Package libreadline-dev is not installed. pbuilder-satisfydepends-dummy depends on bison; however: Package bison is not installed. pbuilder-satisfydepends-dummy depends on flex; however: Package flex is not installed. pbuilder-satisfydepends-dummy depends on gawk; however: Package gawk is not installed. pbuilder-satisfydepends-dummy depends on libffi-dev; however: Package libffi-dev is not installed. pbuilder-satisfydepends-dummy depends on pkg-config; however: Package pkg-config is not installed. pbuilder-satisfydepends-dummy depends on txt2man; however: Package txt2man is not installed. pbuilder-satisfydepends-dummy depends on iverilog (>= 10.1); however: Package iverilog is not installed. pbuilder-satisfydepends-dummy depends on python3; however: Package python3 is not installed. pbuilder-satisfydepends-dummy depends on berkeley-abc (>= 1.01+20221019git70cb339+dfsg-3); however: Package berkeley-abc is not installed. pbuilder-satisfydepends-dummy depends on texlive-base; however: Package texlive-base is not installed. pbuilder-satisfydepends-dummy depends on texlive-plain-generic; however: Package texlive-plain-generic is not installed. pbuilder-satisfydepends-dummy depends on texlive-fonts-recommended; however: Package texlive-fonts-recommended is not installed. pbuilder-satisfydepends-dummy depends on texlive-fonts-extra; however: Package texlive-fonts-extra is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-base; however: Package texlive-latex-base is not installed. pbuilder-satisfydepends-dummy depends on texlive-latex-extra; however: Package texlive-latex-extra is not installed. pbuilder-satisfydepends-dummy depends on texlive-font-utils; however: Package texlive-font-utils is not installed. pbuilder-satisfydepends-dummy depends on texlive-science; however: Package texlive-science is not installed. pbuilder-satisfydepends-dummy depends on texlive-publishers; however: Package texlive-publishers is not installed. pbuilder-satisfydepends-dummy depends on texlive-bibtex-extra; however: Package texlive-bibtex-extra is not installed. pbuilder-satisfydepends-dummy depends on lmodern; however: Package lmodern is not installed. pbuilder-satisfydepends-dummy depends on graphviz; however: Package graphviz is not installed. Setting up pbuilder-satisfydepends-dummy (0.invalid.0) ... Reading package lists... Building dependency tree... Reading state information... Initializing package states... Writing extended state information... Building tag database... pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) pbuilder-satisfydepends-dummy is already installed at the requested version (0.invalid.0) The following NEW packages will be installed: autoconf{a} automake{a} autopoint{a} autotools-dev{a} berkeley-abc{a} bison{a} bsdextrautils{a} debhelper{a} dh-autoreconf{a} dh-python{a} dh-strip-nondeterminism{a} dwz{a} file{a} flex{a} fontconfig{a} fontconfig-config{a} fonts-dejavu-core{a} fonts-gfs-baskerville{a} fonts-gfs-porson{a} fonts-lmodern{a} gawk{a} gettext{a} gettext-base{a} graphviz{a} groff-base{a} intltool-debian{a} iverilog{a} libabsl20220623{a} libaom3{a} libapache-pom-java{a} libarchive-zip-perl{a} libavif15{a} libbrotli1{a} libbsd0{a} libcairo2{a} libcdt5{a} libcgraph6{a} libcommons-logging-java{a} libcommons-parent-java{a} libdatrie1{a} libdav1d6{a} libde265-0{a} libdebhelper-perl{a} libdeflate0{a} libelf1{a} libexpat1{a} libffi-dev{a} libfile-stripnondeterminism-perl{a} libfontbox-java{a} libfontconfig1{a} libfontenc1{a} libfreetype6{a} libfribidi0{a} libgav1-1{a} libgd3{a} libglib2.0-0{a} libgraphite2-3{a} libgts-0.7-5{a} libgvc6{a} libgvpr2{a} libharfbuzz0b{a} libheif1{a} libice6{a} libicu72{a} libjbig0{a} libjpeg62-turbo{a} libkpathsea6{a} liblab-gamut1{a} liblerc4{a} libltdl7{a} libmagic-mgc{a} libmagic1{a} libncurses-dev{a} libncurses6{a} libpango-1.0-0{a} libpangocairo-1.0-0{a} libpangoft2-1.0-0{a} libpaper-utils{a} libpaper1{a} libpathplan4{a} libpdfbox-java{a} libpipeline1{a} libpixman-1-0{a} libpkgconf3{a} libpng16-16{a} libptexenc1{a} libpython3-stdlib{a} libpython3.11-minimal{a} libpython3.11-stdlib{a} librav1e0{a} libreadline-dev{a} libreadline8{a} libsigsegv2{a} libsm6{a} libsub-override-perl{a} libsvtav1enc1{a} libsynctex2{a} libtcl8.6{a} libteckit0{a} libtexlua53-5{a} libtexluajit2{a} libthai-data{a} libthai0{a} libtiff6{a} libtool{a} libuchardet0{a} libwebp7{a} libx11-6{a} libx11-data{a} libx265-199{a} libxau6{a} libxaw7{a} libxcb-render0{a} libxcb-shm0{a} libxcb1{a} libxdmcp6{a} libxext6{a} libxi6{a} libxml2{a} libxmu6{a} libxpm4{a} libxrender1{a} libxt6{a} libyuv0{a} libzzip-0-13{a} lmodern{a} m4{a} man-db{a} media-types{a} pkg-config{a} pkgconf{a} pkgconf-bin{a} po-debconf{a} preview-latex-style{a} python3{a} python3-distutils{a} python3-lib2to3{a} python3-minimal{a} python3.11{a} python3.11-minimal{a} readline-common{a} sensible-utils{a} t1utils{a} tcl{a} tcl-dev{a} tcl8.6{a} tcl8.6-dev{a} tex-common{a} texlive-base{a} texlive-bibtex-extra{a} texlive-binaries{a} texlive-font-utils{a} texlive-fonts-extra{a} texlive-fonts-recommended{a} texlive-lang-greek{a} texlive-latex-base{a} texlive-latex-extra{a} texlive-latex-recommended{a} texlive-pictures{a} texlive-plain-generic{a} texlive-publishers{a} texlive-science{a} txt2man{a} ucf{a} x11-common{a} xdg-utils{a} xfonts-encodings{a} xfonts-utils{a} zlib1g-dev{a} The following packages are RECOMMENDED but will NOT be installed: ca-certificates cm-super curl default-jre dvisvgm fonts-adf-accanthis fonts-adf-berenis fonts-adf-gillius fonts-adf-universalis fonts-cabin fonts-cantarell fonts-clear-sans fonts-comfortaa fonts-comic-neue fonts-croscore fonts-crosextra-caladea fonts-crosextra-carlito fonts-dejavu-extra fonts-ebgaramond-extra fonts-font-awesome fonts-freefont-otf fonts-freefont-ttf fonts-gfs-artemisia fonts-gfs-complutum fonts-gfs-didot fonts-gfs-neohellenic fonts-gfs-olga fonts-gfs-solomos fonts-go fonts-inter fonts-junicode fonts-lato fonts-liberation2 fonts-linuxlibertine fonts-lobstertwo fonts-noto-color-emoji fonts-noto-core fonts-noto-mono fonts-oflb-asana-math fonts-open-sans fonts-paratype fonts-roboto-slab fonts-roboto-unhinted fonts-sil-andika fonts-sil-charis fonts-sil-gentium fonts-sil-gentium-basic fonts-sil-gentiumplus fonts-sil-gentiumplus-compact fonts-stix ghostscript libarchive-cpio-perl libfile-mimeinfo-perl libfl-dev libglib2.0-data libgpm2 libgts-bin libltdl-dev libmail-sendmail-perl libnet-dbus-perl libspreadsheet-parseexcel-perl libx11-protocol-perl lynx ps2eps ruby shared-mime-info tex-gyre texlive-fonts-extra-links tipa tk wget x11-utils x11-xserver-utils xdg-user-dirs 0 packages upgraded, 169 newly installed, 0 to remove and 0 not upgraded. Need to get 876 MB of archives. After unpacking 2388 MB will be used. Writing extended state information... Get: 1 http://deb.debian.org/debian bookworm/main armhf m4 armhf 1.4.19-3 [265 kB] Get: 2 http://deb.debian.org/debian bookworm/main armhf flex armhf 2.6.4-8.2 [405 kB] Get: 3 http://deb.debian.org/debian bookworm/main armhf readline-common all 8.2-1.3 [69.0 kB] Get: 4 http://deb.debian.org/debian bookworm/main armhf libreadline8 armhf 8.2-1.3 [144 kB] Get: 5 http://deb.debian.org/debian bookworm/main armhf libsigsegv2 armhf 2.14-1 [36.4 kB] Get: 6 http://deb.debian.org/debian bookworm/main armhf gawk armhf 1:5.2.1-2 [628 kB] Get: 7 http://deb.debian.org/debian bookworm/main armhf libpython3.11-minimal armhf 3.11.2-6 [798 kB] Get: 8 http://deb.debian.org/debian bookworm/main armhf libexpat1 armhf 2.5.0-1 [79.9 kB] Get: 9 http://deb.debian.org/debian bookworm/main armhf python3.11-minimal armhf 3.11.2-6 [1714 kB] Get: 10 http://deb.debian.org/debian bookworm/main armhf python3-minimal armhf 3.11.2-1+b1 [26.3 kB] Get: 11 http://deb.debian.org/debian bookworm/main armhf media-types all 10.0.0 [26.1 kB] Get: 12 http://deb.debian.org/debian bookworm/main armhf libpython3.11-stdlib armhf 3.11.2-6 [1678 kB] Get: 13 http://deb.debian.org/debian bookworm/main armhf python3.11 armhf 3.11.2-6 [572 kB] Get: 14 http://deb.debian.org/debian bookworm/main armhf libpython3-stdlib armhf 3.11.2-1+b1 [9296 B] Get: 15 http://deb.debian.org/debian bookworm/main armhf python3 armhf 3.11.2-1+b1 [26.3 kB] Get: 16 http://deb.debian.org/debian bookworm/main armhf sensible-utils all 0.0.17+nmu1 [19.0 kB] Get: 17 http://deb.debian.org/debian bookworm/main armhf libmagic-mgc armhf 1:5.44-3 [305 kB] Get: 18 http://deb.debian.org/debian bookworm/main armhf libmagic1 armhf 1:5.44-3 [96.5 kB] Get: 19 http://deb.debian.org/debian bookworm/main armhf file armhf 1:5.44-3 [41.6 kB] Get: 20 http://deb.debian.org/debian bookworm/main armhf gettext-base armhf 0.21-12 [157 kB] Get: 21 http://deb.debian.org/debian bookworm/main armhf libuchardet0 armhf 0.0.7-1 [65.0 kB] Get: 22 http://deb.debian.org/debian bookworm/main armhf groff-base armhf 1.22.4-10 [825 kB] Get: 23 http://deb.debian.org/debian bookworm/main armhf bsdextrautils armhf 2.38.1-5+b1 [78.6 kB] Get: 24 http://deb.debian.org/debian bookworm/main armhf libpipeline1 armhf 1.5.7-1 [33.6 kB] Get: 25 http://deb.debian.org/debian bookworm/main armhf man-db armhf 2.11.2-2 [1351 kB] Get: 26 http://deb.debian.org/debian bookworm/main armhf ucf all 3.0043+nmu1 [55.2 kB] Get: 27 http://deb.debian.org/debian bookworm/main armhf autoconf all 2.71-3 [332 kB] Get: 28 http://deb.debian.org/debian bookworm/main armhf autotools-dev all 20220109.1 [51.6 kB] Get: 29 http://deb.debian.org/debian bookworm/main armhf automake all 1:1.16.5-1.3 [823 kB] Get: 30 http://deb.debian.org/debian bookworm/main armhf autopoint all 0.21-12 [495 kB] Get: 31 http://deb.debian.org/debian bookworm/main armhf berkeley-abc armhf 1.01+20221019git70cb339+dfsg-4 [4967 kB] Get: 32 http://deb.debian.org/debian bookworm/main armhf bison armhf 2:3.8.2+dfsg-1+b1 [1142 kB] Get: 33 http://deb.debian.org/debian bookworm/main armhf libdebhelper-perl all 13.11.4 [81.2 kB] Get: 34 http://deb.debian.org/debian bookworm/main armhf libtool all 2.4.7-5 [517 kB] Get: 35 http://deb.debian.org/debian bookworm/main armhf dh-autoreconf all 20 [17.1 kB] Get: 36 http://deb.debian.org/debian bookworm/main armhf libarchive-zip-perl all 1.68-1 [104 kB] Get: 37 http://deb.debian.org/debian bookworm/main armhf libsub-override-perl all 0.09-4 [9304 B] Get: 38 http://deb.debian.org/debian bookworm/main armhf libfile-stripnondeterminism-perl all 1.13.1-1 [19.4 kB] Get: 39 http://deb.debian.org/debian bookworm/main armhf dh-strip-nondeterminism all 1.13.1-1 [8620 B] Get: 40 http://deb.debian.org/debian bookworm/main armhf libelf1 armhf 0.188-2.1 [170 kB] Get: 41 http://deb.debian.org/debian bookworm/main armhf dwz armhf 0.15-1 [101 kB] Get: 42 http://deb.debian.org/debian bookworm/main armhf libicu72 armhf 72.1-3 [9048 kB] Get: 43 http://deb.debian.org/debian bookworm/main armhf libxml2 armhf 2.9.14+dfsg-1.2 [591 kB] Get: 44 http://deb.debian.org/debian bookworm/main armhf gettext armhf 0.21-12 [1229 kB] Get: 45 http://deb.debian.org/debian bookworm/main armhf intltool-debian all 0.35.0+20060710.6 [22.9 kB] Get: 46 http://deb.debian.org/debian bookworm/main armhf po-debconf all 1.0.21+nmu1 [248 kB] Get: 47 http://deb.debian.org/debian bookworm/main armhf debhelper all 13.11.4 [942 kB] Get: 48 http://deb.debian.org/debian bookworm/main armhf python3-lib2to3 all 3.11.2-3 [76.3 kB] Get: 49 http://deb.debian.org/debian bookworm/main armhf python3-distutils all 3.11.2-3 [131 kB] Get: 50 http://deb.debian.org/debian bookworm/main armhf dh-python all 5.20230130 [104 kB] Get: 51 http://deb.debian.org/debian bookworm/main armhf libbrotli1 armhf 1.0.9-2+b6 [271 kB] Get: 52 http://deb.debian.org/debian bookworm/main armhf libpng16-16 armhf 1.6.39-2 [260 kB] Get: 53 http://deb.debian.org/debian bookworm/main armhf libfreetype6 armhf 2.12.1+dfsg-5 [332 kB] Get: 54 http://deb.debian.org/debian bookworm/main armhf fonts-dejavu-core all 2.37-6 [1068 kB] Get: 55 http://deb.debian.org/debian bookworm/main armhf fontconfig-config armhf 2.14.1-4 [315 kB] Get: 56 http://deb.debian.org/debian bookworm/main armhf libfontconfig1 armhf 2.14.1-4 [368 kB] Get: 57 http://deb.debian.org/debian bookworm/main armhf fontconfig armhf 2.14.1-4 [448 kB] Get: 58 http://deb.debian.org/debian bookworm/main armhf fonts-gfs-baskerville all 1.1-6 [43.7 kB] Get: 59 http://deb.debian.org/debian bookworm/main armhf fonts-gfs-porson all 1.1-7 [33.8 kB] Get: 60 http://deb.debian.org/debian bookworm/main armhf fonts-lmodern all 2.005-1 [4540 kB] Get: 61 http://deb.debian.org/debian bookworm/main armhf libcdt5 armhf 2.42.2-7+b3 [37.5 kB] Get: 62 http://deb.debian.org/debian bookworm/main armhf libcgraph6 armhf 2.42.2-7+b3 [56.1 kB] Get: 63 http://deb.debian.org/debian bookworm/main armhf libaom3 armhf 3.6.0-1 [1369 kB] Get: 64 http://deb.debian.org/debian bookworm/main armhf libdav1d6 armhf 1.0.0-2 [256 kB] Get: 65 http://deb.debian.org/debian bookworm/main armhf libabsl20220623 armhf 20220623.1-1 [365 kB] Get: 66 http://deb.debian.org/debian bookworm/main armhf libgav1-1 armhf 0.18.0-1+b1 [284 kB] Get: 67 http://deb.debian.org/debian bookworm/main armhf librav1e0 armhf 0.5.1-6 [514 kB] Get: 68 http://deb.debian.org/debian bookworm/main armhf libsvtav1enc1 armhf 1.4.1+dfsg-1 [893 kB] Get: 69 http://deb.debian.org/debian bookworm/main armhf libjpeg62-turbo armhf 1:2.1.5-2 [143 kB] Get: 70 http://deb.debian.org/debian bookworm/main armhf libyuv0 armhf 0.0~git20230123.b2528b0-1 [85.5 kB] Get: 71 http://deb.debian.org/debian bookworm/main armhf libavif15 armhf 0.11.1-1 [84.8 kB] Get: 72 http://deb.debian.org/debian bookworm/main armhf libde265-0 armhf 1.0.11-1 [143 kB] Get: 73 http://deb.debian.org/debian bookworm/main armhf libx265-199 armhf 3.5-2+b1 [580 kB] Get: 74 http://deb.debian.org/debian bookworm/main armhf libheif1 armhf 1.15.1-1 [183 kB] Get: 75 http://deb.debian.org/debian bookworm/main armhf libdeflate0 armhf 1.14-1 [52.2 kB] Get: 76 http://deb.debian.org/debian bookworm/main armhf libjbig0 armhf 2.1-6.1 [27.1 kB] Get: 77 http://deb.debian.org/debian bookworm/main armhf liblerc4 armhf 4.0.0+ds-2 [137 kB] Get: 78 http://deb.debian.org/debian bookworm/main armhf libwebp7 armhf 1.2.4-0.2 [242 kB] Get: 79 http://deb.debian.org/debian bookworm/main armhf libtiff6 armhf 4.5.0-6 [295 kB] Get: 80 http://deb.debian.org/debian bookworm/main armhf libxau6 armhf 1:1.0.9-1 [19.0 kB] Get: 81 http://deb.debian.org/debian bookworm/main armhf libbsd0 armhf 0.11.7-2 [113 kB] Get: 82 http://deb.debian.org/debian bookworm/main armhf libxdmcp6 armhf 1:1.1.2-3 [24.9 kB] Get: 83 http://deb.debian.org/debian bookworm/main armhf libxcb1 armhf 1.15-1 [140 kB] Get: 84 http://deb.debian.org/debian bookworm/main armhf libx11-data all 2:1.8.4-2 [292 kB] Get: 85 http://deb.debian.org/debian bookworm/main armhf libx11-6 armhf 2:1.8.4-2 [695 kB] Get: 86 http://deb.debian.org/debian bookworm/main armhf libxpm4 armhf 1:3.5.12-1.1 [42.3 kB] Get: 87 http://deb.debian.org/debian bookworm/main armhf libgd3 armhf 2.3.3-9 [103 kB] Get: 88 http://deb.debian.org/debian bookworm/main armhf libglib2.0-0 armhf 2.74.6-2 [1227 kB] Get: 89 http://deb.debian.org/debian bookworm/main armhf libgts-0.7-5 armhf 0.7.6+darcs121130-5+b1 [137 kB] Get: 90 http://deb.debian.org/debian bookworm/main armhf libpixman-1-0 armhf 0.42.2-1 [465 kB] Get: 91 http://deb.debian.org/debian bookworm/main armhf libxcb-render0 armhf 1.15-1 [114 kB] Get: 92 http://deb.debian.org/debian bookworm/main armhf libxcb-shm0 armhf 1.15-1 [106 kB] Get: 93 http://deb.debian.org/debian bookworm/main armhf libxext6 armhf 2:1.3.4-1+b1 [47.8 kB] Get: 94 http://deb.debian.org/debian bookworm/main armhf libxrender1 armhf 1:0.9.10-1.1 [30.1 kB] Get: 95 http://deb.debian.org/debian bookworm/main armhf libcairo2 armhf 1.16.0-7 [493 kB] Get: 96 http://deb.debian.org/debian bookworm/main armhf libltdl7 armhf 2.4.7-5 [390 kB] Get: 97 http://deb.debian.org/debian bookworm/main armhf libfribidi0 armhf 1.0.8-2.1 [63.1 kB] Get: 98 http://deb.debian.org/debian bookworm/main armhf libgraphite2-3 armhf 1.3.14-1 [70.5 kB] Get: 99 http://deb.debian.org/debian bookworm/main armhf libharfbuzz0b armhf 6.0.0+dfsg-3 [1893 kB] Get: 100 http://deb.debian.org/debian bookworm/main armhf libthai-data all 0.1.29-1 [176 kB] Get: 101 http://deb.debian.org/debian bookworm/main armhf libdatrie1 armhf 0.2.13-2+b1 [39.9 kB] Get: 102 http://deb.debian.org/debian bookworm/main armhf libthai0 armhf 0.1.29-1 [54.3 kB] Get: 103 http://deb.debian.org/debian bookworm/main armhf libpango-1.0-0 armhf 1.50.12+ds-1 [188 kB] Get: 104 http://deb.debian.org/debian bookworm/main armhf libpangoft2-1.0-0 armhf 1.50.12+ds-1 [40.9 kB] Get: 105 http://deb.debian.org/debian bookworm/main armhf libpangocairo-1.0-0 armhf 1.50.12+ds-1 [30.3 kB] Get: 106 http://deb.debian.org/debian bookworm/main armhf libpathplan4 armhf 2.42.2-7+b3 [39.5 kB] Get: 107 http://deb.debian.org/debian bookworm/main armhf libgvc6 armhf 2.42.2-7+b3 [579 kB] Get: 108 http://deb.debian.org/debian bookworm/main armhf libgvpr2 armhf 2.42.2-7+b3 [165 kB] Get: 109 http://deb.debian.org/debian bookworm/main armhf liblab-gamut1 armhf 2.42.2-7+b3 [198 kB] Get: 110 http://deb.debian.org/debian bookworm/main armhf x11-common all 1:7.7+23 [252 kB] Get: 111 http://deb.debian.org/debian bookworm/main armhf libice6 armhf 2:1.0.10-1 [51.9 kB] Get: 112 http://deb.debian.org/debian bookworm/main armhf libsm6 armhf 2:1.2.3-1 [33.0 kB] Get: 113 http://deb.debian.org/debian bookworm/main armhf libxt6 armhf 1:1.2.1-1.1 [157 kB] Get: 114 http://deb.debian.org/debian bookworm/main armhf libxmu6 armhf 2:1.1.3-3 [52.6 kB] Get: 115 http://deb.debian.org/debian bookworm/main armhf libxaw7 armhf 2:1.0.14-1 [167 kB] Get: 116 http://deb.debian.org/debian bookworm/main armhf graphviz armhf 2.42.2-7+b3 [498 kB] Get: 117 http://deb.debian.org/debian bookworm/main armhf iverilog armhf 11.0-1.1+b1 [1773 kB] Get: 118 http://deb.debian.org/debian bookworm/main armhf libapache-pom-java all 29-2 [5276 B] Get: 119 http://deb.debian.org/debian bookworm/main armhf libcommons-parent-java all 56-1 [10.8 kB] Get: 120 http://deb.debian.org/debian bookworm/main armhf libcommons-logging-java all 1.2-3 [62.4 kB] Get: 121 http://deb.debian.org/debian bookworm/main armhf libffi-dev armhf 3.4.4-1 [55.0 kB] Get: 122 http://deb.debian.org/debian bookworm/main armhf libfontbox-java all 1:1.8.16-2 [211 kB] Get: 123 http://deb.debian.org/debian bookworm/main armhf libfontenc1 armhf 1:1.1.4-1 [21.9 kB] Get: 124 http://deb.debian.org/debian bookworm/main armhf libkpathsea6 armhf 2022.20220321.62855-5.1 [142 kB] Get: 125 http://deb.debian.org/debian bookworm/main armhf libncurses6 armhf 6.4-4 [81.1 kB] Get: 126 http://deb.debian.org/debian bookworm/main armhf libncurses-dev armhf 6.4-4 [311 kB] Get: 127 http://deb.debian.org/debian bookworm/main armhf libpaper1 armhf 1.1.29 [11.9 kB] Get: 128 http://deb.debian.org/debian bookworm/main armhf libpaper-utils armhf 1.1.29 [8400 B] Get: 129 http://deb.debian.org/debian bookworm/main armhf libpdfbox-java all 1:1.8.16-2 [5205 kB] Get: 130 http://deb.debian.org/debian bookworm/main armhf libpkgconf3 armhf 1.8.1-1 [31.3 kB] Get: 131 http://deb.debian.org/debian bookworm/main armhf libptexenc1 armhf 2022.20220321.62855-5.1 [40.1 kB] Get: 132 http://deb.debian.org/debian bookworm/main armhf libreadline-dev armhf 8.2-1.3 [136 kB] Get: 133 http://deb.debian.org/debian bookworm/main armhf libsynctex2 armhf 2022.20220321.62855-5.1 [46.4 kB] Get: 134 http://deb.debian.org/debian bookworm/main armhf libtcl8.6 armhf 8.6.13+dfsg-2 [922 kB] Get: 135 http://deb.debian.org/debian bookworm/main armhf libteckit0 armhf 2.5.11+ds1-1+b1 [257 kB] Get: 136 http://deb.debian.org/debian bookworm/main armhf libtexlua53-5 armhf 2022.20220321.62855-5.1 [80.5 kB] Get: 137 http://deb.debian.org/debian bookworm/main armhf libtexluajit2 armhf 2022.20220321.62855-5.1 [185 kB] Get: 138 http://deb.debian.org/debian bookworm/main armhf libxi6 armhf 2:1.8-1+b1 [78.6 kB] Get: 139 http://deb.debian.org/debian bookworm/main armhf libzzip-0-13 armhf 0.13.72+dfsg.1-1.1 [54.3 kB] Get: 140 http://deb.debian.org/debian bookworm/main armhf tex-common all 6.18 [32.5 kB] Get: 141 http://deb.debian.org/debian bookworm/main armhf xfonts-encodings all 1:1.0.4-2.2 [577 kB] Get: 142 http://deb.debian.org/debian bookworm/main armhf xfonts-utils armhf 1:7.7+6 [84.5 kB] Get: 143 http://deb.debian.org/debian bookworm/main armhf lmodern all 2.005-1 [9480 kB] Get: 144 http://deb.debian.org/debian bookworm/main armhf pkgconf-bin armhf 1.8.1-1 [28.5 kB] Get: 145 http://deb.debian.org/debian bookworm/main armhf pkgconf armhf 1.8.1-1 [25.9 kB] Get: 146 http://deb.debian.org/debian bookworm/main armhf pkg-config armhf 1.8.1-1 [13.7 kB] Get: 147 http://deb.debian.org/debian bookworm/main armhf preview-latex-style all 12.2-1 [201 kB] Get: 148 http://deb.debian.org/debian bookworm/main armhf t1utils armhf 1.41-4 [54.7 kB] Get: 149 http://deb.debian.org/debian bookworm/main armhf tcl8.6 armhf 8.6.13+dfsg-2 [120 kB] Get: 150 http://deb.debian.org/debian bookworm/main armhf tcl armhf 8.6.13 [4016 B] Get: 151 http://deb.debian.org/debian bookworm/main armhf zlib1g-dev armhf 1:1.2.13.dfsg-1 [902 kB] Get: 152 http://deb.debian.org/debian bookworm/main armhf tcl8.6-dev armhf 8.6.13+dfsg-2 [936 kB] Get: 153 http://deb.debian.org/debian bookworm/main armhf tcl-dev armhf 8.6.13 [6552 B] Get: 154 http://deb.debian.org/debian bookworm/main armhf texlive-binaries armhf 2022.20220321.62855-5.1 [8147 kB] Get: 155 http://deb.debian.org/debian bookworm/main armhf xdg-utils all 1.1.3-4.1 [75.5 kB] Get: 156 http://deb.debian.org/debian bookworm/main armhf texlive-base all 2022.20230122-3 [21.9 MB] Get: 157 http://deb.debian.org/debian bookworm/main armhf texlive-latex-base all 2022.20230122-3 [1182 kB] Get: 158 http://deb.debian.org/debian bookworm/main armhf texlive-bibtex-extra all 2022.20230122-4 [75.6 MB] Get: 159 http://deb.debian.org/debian bookworm/main armhf texlive-font-utils all 2022.20230122-4 [4129 kB] Get: 160 http://deb.debian.org/debian bookworm/main armhf texlive-fonts-extra all 2022.20230122-4 [509 MB] Get: 161 http://deb.debian.org/debian bookworm/main armhf texlive-fonts-recommended all 2022.20230122-3 [4988 kB] Get: 162 http://deb.debian.org/debian bookworm/main armhf texlive-lang-greek all 2022.20230122-1 [78.1 MB] Get: 163 http://deb.debian.org/debian bookworm/main armhf texlive-latex-recommended all 2022.20230122-3 [8880 kB] Get: 164 http://deb.debian.org/debian bookworm/main armhf texlive-pictures all 2022.20230122-3 [15.8 MB] Get: 165 http://deb.debian.org/debian bookworm/main armhf texlive-latex-extra all 2022.20230122-4 [19.2 MB] Get: 166 http://deb.debian.org/debian bookworm/main armhf texlive-plain-generic all 2022.20230122-4 [28.9 MB] Get: 167 http://deb.debian.org/debian bookworm/main armhf texlive-publishers all 2022.20230122-4 [21.1 MB] Get: 168 http://deb.debian.org/debian bookworm/main armhf texlive-science all 2022.20230122-4 [3722 kB] Get: 169 http://deb.debian.org/debian bookworm/main armhf txt2man all 1.7.1-4 [35.4 kB] Fetched 876 MB in 2min 11s (6693 kB/s) debconf: delaying package configuration, since apt-utils is not installed Selecting previously unselected package m4. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19324 files and directories currently installed.) Preparing to unpack .../archives/m4_1.4.19-3_armhf.deb ... Unpacking m4 (1.4.19-3) ... Selecting previously unselected package flex. Preparing to unpack .../flex_2.6.4-8.2_armhf.deb ... Unpacking flex (2.6.4-8.2) ... Selecting previously unselected package readline-common. Preparing to unpack .../readline-common_8.2-1.3_all.deb ... Unpacking readline-common (8.2-1.3) ... Selecting previously unselected package libreadline8:armhf. Preparing to unpack .../libreadline8_8.2-1.3_armhf.deb ... Unpacking libreadline8:armhf (8.2-1.3) ... Selecting previously unselected package libsigsegv2:armhf. Preparing to unpack .../libsigsegv2_2.14-1_armhf.deb ... Unpacking libsigsegv2:armhf (2.14-1) ... Setting up readline-common (8.2-1.3) ... Setting up libreadline8:armhf (8.2-1.3) ... Setting up libsigsegv2:armhf (2.14-1) ... Selecting previously unselected package gawk. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 19539 files and directories currently installed.) Preparing to unpack .../gawk_1%3a5.2.1-2_armhf.deb ... Unpacking gawk (1:5.2.1-2) ... Selecting previously unselected package libpython3.11-minimal:armhf. Preparing to unpack .../libpython3.11-minimal_3.11.2-6_armhf.deb ... Unpacking libpython3.11-minimal:armhf (3.11.2-6) ... Selecting previously unselected package libexpat1:armhf. Preparing to unpack .../libexpat1_2.5.0-1_armhf.deb ... Unpacking libexpat1:armhf (2.5.0-1) ... Selecting previously unselected package python3.11-minimal. Preparing to unpack .../python3.11-minimal_3.11.2-6_armhf.deb ... Unpacking python3.11-minimal (3.11.2-6) ... Setting up libpython3.11-minimal:armhf (3.11.2-6) ... Setting up libexpat1:armhf (2.5.0-1) ... Setting up python3.11-minimal (3.11.2-6) ... Selecting previously unselected package python3-minimal. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20043 files and directories currently installed.) Preparing to unpack .../python3-minimal_3.11.2-1+b1_armhf.deb ... Unpacking python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package media-types. Preparing to unpack .../media-types_10.0.0_all.deb ... Unpacking media-types (10.0.0) ... Selecting previously unselected package libpython3.11-stdlib:armhf. Preparing to unpack .../libpython3.11-stdlib_3.11.2-6_armhf.deb ... Unpacking libpython3.11-stdlib:armhf (3.11.2-6) ... Selecting previously unselected package python3.11. Preparing to unpack .../python3.11_3.11.2-6_armhf.deb ... Unpacking python3.11 (3.11.2-6) ... Selecting previously unselected package libpython3-stdlib:armhf. Preparing to unpack .../libpython3-stdlib_3.11.2-1+b1_armhf.deb ... Unpacking libpython3-stdlib:armhf (3.11.2-1+b1) ... Setting up python3-minimal (3.11.2-1+b1) ... Selecting previously unselected package python3. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 20453 files and directories currently installed.) Preparing to unpack .../000-python3_3.11.2-1+b1_armhf.deb ... Unpacking python3 (3.11.2-1+b1) ... Selecting previously unselected package sensible-utils. Preparing to unpack .../001-sensible-utils_0.0.17+nmu1_all.deb ... Unpacking sensible-utils (0.0.17+nmu1) ... Selecting previously unselected package libmagic-mgc. Preparing to unpack .../002-libmagic-mgc_1%3a5.44-3_armhf.deb ... Unpacking libmagic-mgc (1:5.44-3) ... Selecting previously unselected package libmagic1:armhf. Preparing to unpack .../003-libmagic1_1%3a5.44-3_armhf.deb ... Unpacking libmagic1:armhf (1:5.44-3) ... Selecting previously unselected package file. Preparing to unpack .../004-file_1%3a5.44-3_armhf.deb ... Unpacking file (1:5.44-3) ... Selecting previously unselected package gettext-base. Preparing to unpack .../005-gettext-base_0.21-12_armhf.deb ... Unpacking gettext-base (0.21-12) ... Selecting previously unselected package libuchardet0:armhf. Preparing to unpack .../006-libuchardet0_0.0.7-1_armhf.deb ... Unpacking libuchardet0:armhf (0.0.7-1) ... Selecting previously unselected package groff-base. Preparing to unpack .../007-groff-base_1.22.4-10_armhf.deb ... Unpacking groff-base (1.22.4-10) ... Selecting previously unselected package bsdextrautils. Preparing to unpack .../008-bsdextrautils_2.38.1-5+b1_armhf.deb ... Unpacking bsdextrautils (2.38.1-5+b1) ... Selecting previously unselected package libpipeline1:armhf. Preparing to unpack .../009-libpipeline1_1.5.7-1_armhf.deb ... Unpacking libpipeline1:armhf (1.5.7-1) ... Selecting previously unselected package man-db. Preparing to unpack .../010-man-db_2.11.2-2_armhf.deb ... Unpacking man-db (2.11.2-2) ... Selecting previously unselected package ucf. Preparing to unpack .../011-ucf_3.0043+nmu1_all.deb ... Moving old data out of the way Unpacking ucf (3.0043+nmu1) ... Selecting previously unselected package autoconf. Preparing to unpack .../012-autoconf_2.71-3_all.deb ... Unpacking autoconf (2.71-3) ... Selecting previously unselected package autotools-dev. Preparing to unpack .../013-autotools-dev_20220109.1_all.deb ... Unpacking autotools-dev (20220109.1) ... Selecting previously unselected package automake. Preparing to unpack .../014-automake_1%3a1.16.5-1.3_all.deb ... Unpacking automake (1:1.16.5-1.3) ... Selecting previously unselected package autopoint. Preparing to unpack .../015-autopoint_0.21-12_all.deb ... Unpacking autopoint (0.21-12) ... Selecting previously unselected package berkeley-abc. Preparing to unpack .../016-berkeley-abc_1.01+20221019git70cb339+dfsg-4_armhf.deb ... Unpacking berkeley-abc (1.01+20221019git70cb339+dfsg-4) ... Selecting previously unselected package bison. Preparing to unpack .../017-bison_2%3a3.8.2+dfsg-1+b1_armhf.deb ... Unpacking bison (2:3.8.2+dfsg-1+b1) ... Selecting previously unselected package libdebhelper-perl. Preparing to unpack .../018-libdebhelper-perl_13.11.4_all.deb ... Unpacking libdebhelper-perl (13.11.4) ... Selecting previously unselected package libtool. Preparing to unpack .../019-libtool_2.4.7-5_all.deb ... Unpacking libtool (2.4.7-5) ... Selecting previously unselected package dh-autoreconf. Preparing to unpack .../020-dh-autoreconf_20_all.deb ... Unpacking dh-autoreconf (20) ... Selecting previously unselected package libarchive-zip-perl. Preparing to unpack .../021-libarchive-zip-perl_1.68-1_all.deb ... Unpacking libarchive-zip-perl (1.68-1) ... Selecting previously unselected package libsub-override-perl. Preparing to unpack .../022-libsub-override-perl_0.09-4_all.deb ... Unpacking libsub-override-perl (0.09-4) ... Selecting previously unselected package libfile-stripnondeterminism-perl. Preparing to unpack .../023-libfile-stripnondeterminism-perl_1.13.1-1_all.deb ... Unpacking libfile-stripnondeterminism-perl (1.13.1-1) ... Selecting previously unselected package dh-strip-nondeterminism. Preparing to unpack .../024-dh-strip-nondeterminism_1.13.1-1_all.deb ... Unpacking dh-strip-nondeterminism (1.13.1-1) ... Selecting previously unselected package libelf1:armhf. Preparing to unpack .../025-libelf1_0.188-2.1_armhf.deb ... Unpacking libelf1:armhf (0.188-2.1) ... Selecting previously unselected package dwz. Preparing to unpack .../026-dwz_0.15-1_armhf.deb ... Unpacking dwz (0.15-1) ... Selecting previously unselected package libicu72:armhf. Preparing to unpack .../027-libicu72_72.1-3_armhf.deb ... Unpacking libicu72:armhf (72.1-3) ... Selecting previously unselected package libxml2:armhf. Preparing to unpack .../028-libxml2_2.9.14+dfsg-1.2_armhf.deb ... Unpacking libxml2:armhf (2.9.14+dfsg-1.2) ... Selecting previously unselected package gettext. Preparing to unpack .../029-gettext_0.21-12_armhf.deb ... Unpacking gettext (0.21-12) ... Selecting previously unselected package intltool-debian. Preparing to unpack .../030-intltool-debian_0.35.0+20060710.6_all.deb ... Unpacking intltool-debian (0.35.0+20060710.6) ... Selecting previously unselected package po-debconf. Preparing to unpack .../031-po-debconf_1.0.21+nmu1_all.deb ... Unpacking po-debconf (1.0.21+nmu1) ... Selecting previously unselected package debhelper. Preparing to unpack .../032-debhelper_13.11.4_all.deb ... Unpacking debhelper (13.11.4) ... Selecting previously unselected package python3-lib2to3. Preparing to unpack .../033-python3-lib2to3_3.11.2-3_all.deb ... Unpacking python3-lib2to3 (3.11.2-3) ... Selecting previously unselected package python3-distutils. Preparing to unpack .../034-python3-distutils_3.11.2-3_all.deb ... Unpacking python3-distutils (3.11.2-3) ... Selecting previously unselected package dh-python. Preparing to unpack .../035-dh-python_5.20230130_all.deb ... Unpacking dh-python (5.20230130) ... Selecting previously unselected package libbrotli1:armhf. Preparing to unpack .../036-libbrotli1_1.0.9-2+b6_armhf.deb ... Unpacking libbrotli1:armhf (1.0.9-2+b6) ... Selecting previously unselected package libpng16-16:armhf. Preparing to unpack .../037-libpng16-16_1.6.39-2_armhf.deb ... Unpacking libpng16-16:armhf (1.6.39-2) ... Selecting previously unselected package libfreetype6:armhf. Preparing to unpack .../038-libfreetype6_2.12.1+dfsg-5_armhf.deb ... Unpacking libfreetype6:armhf (2.12.1+dfsg-5) ... Selecting previously unselected package fonts-dejavu-core. Preparing to unpack .../039-fonts-dejavu-core_2.37-6_all.deb ... Unpacking fonts-dejavu-core (2.37-6) ... Selecting previously unselected package fontconfig-config. Preparing to unpack .../040-fontconfig-config_2.14.1-4_armhf.deb ... Unpacking fontconfig-config (2.14.1-4) ... Selecting previously unselected package libfontconfig1:armhf. Preparing to unpack .../041-libfontconfig1_2.14.1-4_armhf.deb ... Unpacking libfontconfig1:armhf (2.14.1-4) ... Selecting previously unselected package fontconfig. Preparing to unpack .../042-fontconfig_2.14.1-4_armhf.deb ... Unpacking fontconfig (2.14.1-4) ... Selecting previously unselected package fonts-gfs-baskerville. Preparing to unpack .../043-fonts-gfs-baskerville_1.1-6_all.deb ... Unpacking fonts-gfs-baskerville (1.1-6) ... Selecting previously unselected package fonts-gfs-porson. Preparing to unpack .../044-fonts-gfs-porson_1.1-7_all.deb ... Unpacking fonts-gfs-porson (1.1-7) ... Selecting previously unselected package fonts-lmodern. Preparing to unpack .../045-fonts-lmodern_2.005-1_all.deb ... Unpacking fonts-lmodern (2.005-1) ... Selecting previously unselected package libcdt5:armhf. Preparing to unpack .../046-libcdt5_2.42.2-7+b3_armhf.deb ... Unpacking libcdt5:armhf (2.42.2-7+b3) ... Selecting previously unselected package libcgraph6:armhf. Preparing to unpack .../047-libcgraph6_2.42.2-7+b3_armhf.deb ... Unpacking libcgraph6:armhf (2.42.2-7+b3) ... Selecting previously unselected package libaom3:armhf. Preparing to unpack .../048-libaom3_3.6.0-1_armhf.deb ... Unpacking libaom3:armhf (3.6.0-1) ... Selecting previously unselected package libdav1d6:armhf. Preparing to unpack .../049-libdav1d6_1.0.0-2_armhf.deb ... Unpacking libdav1d6:armhf (1.0.0-2) ... Selecting previously unselected package libabsl20220623:armhf. Preparing to unpack .../050-libabsl20220623_20220623.1-1_armhf.deb ... Unpacking libabsl20220623:armhf (20220623.1-1) ... Selecting previously unselected package libgav1-1:armhf. Preparing to unpack .../051-libgav1-1_0.18.0-1+b1_armhf.deb ... Unpacking libgav1-1:armhf (0.18.0-1+b1) ... Selecting previously unselected package librav1e0:armhf. Preparing to unpack .../052-librav1e0_0.5.1-6_armhf.deb ... Unpacking librav1e0:armhf (0.5.1-6) ... Selecting previously unselected package libsvtav1enc1:armhf. Preparing to unpack .../053-libsvtav1enc1_1.4.1+dfsg-1_armhf.deb ... Unpacking libsvtav1enc1:armhf (1.4.1+dfsg-1) ... Selecting previously unselected package libjpeg62-turbo:armhf. Preparing to unpack .../054-libjpeg62-turbo_1%3a2.1.5-2_armhf.deb ... Unpacking libjpeg62-turbo:armhf (1:2.1.5-2) ... Selecting previously unselected package libyuv0:armhf. Preparing to unpack .../055-libyuv0_0.0~git20230123.b2528b0-1_armhf.deb ... Unpacking libyuv0:armhf (0.0~git20230123.b2528b0-1) ... Selecting previously unselected package libavif15:armhf. Preparing to unpack .../056-libavif15_0.11.1-1_armhf.deb ... Unpacking libavif15:armhf (0.11.1-1) ... Selecting previously unselected package libde265-0:armhf. Preparing to unpack .../057-libde265-0_1.0.11-1_armhf.deb ... Unpacking libde265-0:armhf (1.0.11-1) ... Selecting previously unselected package libx265-199:armhf. Preparing to unpack .../058-libx265-199_3.5-2+b1_armhf.deb ... Unpacking libx265-199:armhf (3.5-2+b1) ... Selecting previously unselected package libheif1:armhf. Preparing to unpack .../059-libheif1_1.15.1-1_armhf.deb ... Unpacking libheif1:armhf (1.15.1-1) ... Selecting previously unselected package libdeflate0:armhf. Preparing to unpack .../060-libdeflate0_1.14-1_armhf.deb ... Unpacking libdeflate0:armhf (1.14-1) ... Selecting previously unselected package libjbig0:armhf. Preparing to unpack .../061-libjbig0_2.1-6.1_armhf.deb ... Unpacking libjbig0:armhf (2.1-6.1) ... Selecting previously unselected package liblerc4:armhf. Preparing to unpack .../062-liblerc4_4.0.0+ds-2_armhf.deb ... Unpacking liblerc4:armhf (4.0.0+ds-2) ... Selecting previously unselected package libwebp7:armhf. Preparing to unpack .../063-libwebp7_1.2.4-0.2_armhf.deb ... Unpacking libwebp7:armhf (1.2.4-0.2) ... Selecting previously unselected package libtiff6:armhf. Preparing to unpack .../064-libtiff6_4.5.0-6_armhf.deb ... Unpacking libtiff6:armhf (4.5.0-6) ... Selecting previously unselected package libxau6:armhf. Preparing to unpack .../065-libxau6_1%3a1.0.9-1_armhf.deb ... Unpacking libxau6:armhf (1:1.0.9-1) ... Selecting previously unselected package libbsd0:armhf. Preparing to unpack .../066-libbsd0_0.11.7-2_armhf.deb ... Unpacking libbsd0:armhf (0.11.7-2) ... Selecting previously unselected package libxdmcp6:armhf. Preparing to unpack .../067-libxdmcp6_1%3a1.1.2-3_armhf.deb ... Unpacking libxdmcp6:armhf (1:1.1.2-3) ... Selecting previously unselected package libxcb1:armhf. Preparing to unpack .../068-libxcb1_1.15-1_armhf.deb ... Unpacking libxcb1:armhf (1.15-1) ... Selecting previously unselected package libx11-data. Preparing to unpack .../069-libx11-data_2%3a1.8.4-2_all.deb ... Unpacking libx11-data (2:1.8.4-2) ... Selecting previously unselected package libx11-6:armhf. Preparing to unpack .../070-libx11-6_2%3a1.8.4-2_armhf.deb ... Unpacking libx11-6:armhf (2:1.8.4-2) ... Selecting previously unselected package libxpm4:armhf. Preparing to unpack .../071-libxpm4_1%3a3.5.12-1.1_armhf.deb ... Unpacking libxpm4:armhf (1:3.5.12-1.1) ... Selecting previously unselected package libgd3:armhf. Preparing to unpack .../072-libgd3_2.3.3-9_armhf.deb ... Unpacking libgd3:armhf (2.3.3-9) ... Selecting previously unselected package libglib2.0-0:armhf. Preparing to unpack .../073-libglib2.0-0_2.74.6-2_armhf.deb ... Unpacking libglib2.0-0:armhf (2.74.6-2) ... Selecting previously unselected package libgts-0.7-5:armhf. Preparing to unpack .../074-libgts-0.7-5_0.7.6+darcs121130-5+b1_armhf.deb ... Unpacking libgts-0.7-5:armhf (0.7.6+darcs121130-5+b1) ... Selecting previously unselected package libpixman-1-0:armhf. Preparing to unpack .../075-libpixman-1-0_0.42.2-1_armhf.deb ... Unpacking libpixman-1-0:armhf (0.42.2-1) ... Selecting previously unselected package libxcb-render0:armhf. Preparing to unpack .../076-libxcb-render0_1.15-1_armhf.deb ... Unpacking libxcb-render0:armhf (1.15-1) ... Selecting previously unselected package libxcb-shm0:armhf. Preparing to unpack .../077-libxcb-shm0_1.15-1_armhf.deb ... Unpacking libxcb-shm0:armhf (1.15-1) ... Selecting previously unselected package libxext6:armhf. Preparing to unpack .../078-libxext6_2%3a1.3.4-1+b1_armhf.deb ... Unpacking libxext6:armhf (2:1.3.4-1+b1) ... Selecting previously unselected package libxrender1:armhf. Preparing to unpack .../079-libxrender1_1%3a0.9.10-1.1_armhf.deb ... Unpacking libxrender1:armhf (1:0.9.10-1.1) ... Selecting previously unselected package libcairo2:armhf. Preparing to unpack .../080-libcairo2_1.16.0-7_armhf.deb ... Unpacking libcairo2:armhf (1.16.0-7) ... Selecting previously unselected package libltdl7:armhf. Preparing to unpack .../081-libltdl7_2.4.7-5_armhf.deb ... Unpacking libltdl7:armhf (2.4.7-5) ... Selecting previously unselected package libfribidi0:armhf. Preparing to unpack .../082-libfribidi0_1.0.8-2.1_armhf.deb ... Unpacking libfribidi0:armhf (1.0.8-2.1) ... Selecting previously unselected package libgraphite2-3:armhf. Preparing to unpack .../083-libgraphite2-3_1.3.14-1_armhf.deb ... Unpacking libgraphite2-3:armhf (1.3.14-1) ... Selecting previously unselected package libharfbuzz0b:armhf. Preparing to unpack .../084-libharfbuzz0b_6.0.0+dfsg-3_armhf.deb ... Unpacking libharfbuzz0b:armhf (6.0.0+dfsg-3) ... Selecting previously unselected package libthai-data. Preparing to unpack .../085-libthai-data_0.1.29-1_all.deb ... Unpacking libthai-data (0.1.29-1) ... Selecting previously unselected package libdatrie1:armhf. Preparing to unpack .../086-libdatrie1_0.2.13-2+b1_armhf.deb ... Unpacking libdatrie1:armhf (0.2.13-2+b1) ... Selecting previously unselected package libthai0:armhf. Preparing to unpack .../087-libthai0_0.1.29-1_armhf.deb ... Unpacking libthai0:armhf (0.1.29-1) ... Selecting previously unselected package libpango-1.0-0:armhf. Preparing to unpack .../088-libpango-1.0-0_1.50.12+ds-1_armhf.deb ... Unpacking libpango-1.0-0:armhf (1.50.12+ds-1) ... Selecting previously unselected package libpangoft2-1.0-0:armhf. Preparing to unpack .../089-libpangoft2-1.0-0_1.50.12+ds-1_armhf.deb ... Unpacking libpangoft2-1.0-0:armhf (1.50.12+ds-1) ... Selecting previously unselected package libpangocairo-1.0-0:armhf. Preparing to unpack .../090-libpangocairo-1.0-0_1.50.12+ds-1_armhf.deb ... Unpacking libpangocairo-1.0-0:armhf (1.50.12+ds-1) ... Selecting previously unselected package libpathplan4:armhf. Preparing to unpack .../091-libpathplan4_2.42.2-7+b3_armhf.deb ... Unpacking libpathplan4:armhf (2.42.2-7+b3) ... Selecting previously unselected package libgvc6. Preparing to unpack .../092-libgvc6_2.42.2-7+b3_armhf.deb ... Unpacking libgvc6 (2.42.2-7+b3) ... Selecting previously unselected package libgvpr2:armhf. Preparing to unpack .../093-libgvpr2_2.42.2-7+b3_armhf.deb ... Unpacking libgvpr2:armhf (2.42.2-7+b3) ... Selecting previously unselected package liblab-gamut1:armhf. Preparing to unpack .../094-liblab-gamut1_2.42.2-7+b3_armhf.deb ... Unpacking liblab-gamut1:armhf (2.42.2-7+b3) ... Selecting previously unselected package x11-common. Preparing to unpack .../095-x11-common_1%3a7.7+23_all.deb ... Unpacking x11-common (1:7.7+23) ... Selecting previously unselected package libice6:armhf. Preparing to unpack .../096-libice6_2%3a1.0.10-1_armhf.deb ... Unpacking libice6:armhf (2:1.0.10-1) ... Selecting previously unselected package libsm6:armhf. Preparing to unpack .../097-libsm6_2%3a1.2.3-1_armhf.deb ... Unpacking libsm6:armhf (2:1.2.3-1) ... Selecting previously unselected package libxt6:armhf. Preparing to unpack .../098-libxt6_1%3a1.2.1-1.1_armhf.deb ... Unpacking libxt6:armhf (1:1.2.1-1.1) ... Selecting previously unselected package libxmu6:armhf. Preparing to unpack .../099-libxmu6_2%3a1.1.3-3_armhf.deb ... Unpacking libxmu6:armhf (2:1.1.3-3) ... Selecting previously unselected package libxaw7:armhf. Preparing to unpack .../100-libxaw7_2%3a1.0.14-1_armhf.deb ... Unpacking libxaw7:armhf (2:1.0.14-1) ... Selecting previously unselected package graphviz. Preparing to unpack .../101-graphviz_2.42.2-7+b3_armhf.deb ... Unpacking graphviz (2.42.2-7+b3) ... Selecting previously unselected package iverilog. Preparing to unpack .../102-iverilog_11.0-1.1+b1_armhf.deb ... Unpacking iverilog (11.0-1.1+b1) ... Selecting previously unselected package libapache-pom-java. Preparing to unpack .../103-libapache-pom-java_29-2_all.deb ... Unpacking libapache-pom-java (29-2) ... Selecting previously unselected package libcommons-parent-java. Preparing to unpack .../104-libcommons-parent-java_56-1_all.deb ... Unpacking libcommons-parent-java (56-1) ... Selecting previously unselected package libcommons-logging-java. Preparing to unpack .../105-libcommons-logging-java_1.2-3_all.deb ... Unpacking libcommons-logging-java (1.2-3) ... Selecting previously unselected package libffi-dev:armhf. Preparing to unpack .../106-libffi-dev_3.4.4-1_armhf.deb ... Unpacking libffi-dev:armhf (3.4.4-1) ... Selecting previously unselected package libfontbox-java. Preparing to unpack .../107-libfontbox-java_1%3a1.8.16-2_all.deb ... Unpacking libfontbox-java (1:1.8.16-2) ... Selecting previously unselected package libfontenc1:armhf. Preparing to unpack .../108-libfontenc1_1%3a1.1.4-1_armhf.deb ... Unpacking libfontenc1:armhf (1:1.1.4-1) ... Selecting previously unselected package libkpathsea6:armhf. Preparing to unpack .../109-libkpathsea6_2022.20220321.62855-5.1_armhf.deb ... Unpacking libkpathsea6:armhf (2022.20220321.62855-5.1) ... Selecting previously unselected package libncurses6:armhf. Preparing to unpack .../110-libncurses6_6.4-4_armhf.deb ... Unpacking libncurses6:armhf (6.4-4) ... Selecting previously unselected package libncurses-dev:armhf. Preparing to unpack .../111-libncurses-dev_6.4-4_armhf.deb ... Unpacking libncurses-dev:armhf (6.4-4) ... Selecting previously unselected package libpaper1:armhf. Preparing to unpack .../112-libpaper1_1.1.29_armhf.deb ... Unpacking libpaper1:armhf (1.1.29) ... Selecting previously unselected package libpaper-utils. Preparing to unpack .../113-libpaper-utils_1.1.29_armhf.deb ... Unpacking libpaper-utils (1.1.29) ... Selecting previously unselected package libpdfbox-java. Preparing to unpack .../114-libpdfbox-java_1%3a1.8.16-2_all.deb ... Unpacking libpdfbox-java (1:1.8.16-2) ... Selecting previously unselected package libpkgconf3:armhf. Preparing to unpack .../115-libpkgconf3_1.8.1-1_armhf.deb ... Unpacking libpkgconf3:armhf (1.8.1-1) ... Selecting previously unselected package libptexenc1:armhf. Preparing to unpack .../116-libptexenc1_2022.20220321.62855-5.1_armhf.deb ... Unpacking libptexenc1:armhf (2022.20220321.62855-5.1) ... Selecting previously unselected package libreadline-dev:armhf. Preparing to unpack .../117-libreadline-dev_8.2-1.3_armhf.deb ... Unpacking libreadline-dev:armhf (8.2-1.3) ... Selecting previously unselected package libsynctex2:armhf. Preparing to unpack .../118-libsynctex2_2022.20220321.62855-5.1_armhf.deb ... Unpacking libsynctex2:armhf (2022.20220321.62855-5.1) ... Selecting previously unselected package libtcl8.6:armhf. Preparing to unpack .../119-libtcl8.6_8.6.13+dfsg-2_armhf.deb ... Unpacking libtcl8.6:armhf (8.6.13+dfsg-2) ... Selecting previously unselected package libteckit0:armhf. Preparing to unpack .../120-libteckit0_2.5.11+ds1-1+b1_armhf.deb ... Unpacking libteckit0:armhf (2.5.11+ds1-1+b1) ... Selecting previously unselected package libtexlua53-5:armhf. Preparing to unpack .../121-libtexlua53-5_2022.20220321.62855-5.1_armhf.deb ... Unpacking libtexlua53-5:armhf (2022.20220321.62855-5.1) ... Selecting previously unselected package libtexluajit2:armhf. Preparing to unpack .../122-libtexluajit2_2022.20220321.62855-5.1_armhf.deb ... Unpacking libtexluajit2:armhf (2022.20220321.62855-5.1) ... Selecting previously unselected package libxi6:armhf. Preparing to unpack .../123-libxi6_2%3a1.8-1+b1_armhf.deb ... Unpacking libxi6:armhf (2:1.8-1+b1) ... Selecting previously unselected package libzzip-0-13:armhf. Preparing to unpack .../124-libzzip-0-13_0.13.72+dfsg.1-1.1_armhf.deb ... Unpacking libzzip-0-13:armhf (0.13.72+dfsg.1-1.1) ... Selecting previously unselected package tex-common. Preparing to unpack .../125-tex-common_6.18_all.deb ... Unpacking tex-common (6.18) ... Selecting previously unselected package xfonts-encodings. Preparing to unpack .../126-xfonts-encodings_1%3a1.0.4-2.2_all.deb ... Unpacking xfonts-encodings (1:1.0.4-2.2) ... Selecting previously unselected package xfonts-utils. Preparing to unpack .../127-xfonts-utils_1%3a7.7+6_armhf.deb ... Unpacking xfonts-utils (1:7.7+6) ... Selecting previously unselected package lmodern. Preparing to unpack .../128-lmodern_2.005-1_all.deb ... Unpacking lmodern (2.005-1) ... Selecting previously unselected package pkgconf-bin. Preparing to unpack .../129-pkgconf-bin_1.8.1-1_armhf.deb ... Unpacking pkgconf-bin (1.8.1-1) ... Selecting previously unselected package pkgconf:armhf. Preparing to unpack .../130-pkgconf_1.8.1-1_armhf.deb ... Unpacking pkgconf:armhf (1.8.1-1) ... Selecting previously unselected package pkg-config:armhf. Preparing to unpack .../131-pkg-config_1.8.1-1_armhf.deb ... Unpacking pkg-config:armhf (1.8.1-1) ... Selecting previously unselected package preview-latex-style. Preparing to unpack .../132-preview-latex-style_12.2-1_all.deb ... Unpacking preview-latex-style (12.2-1) ... Selecting previously unselected package t1utils. Preparing to unpack .../133-t1utils_1.41-4_armhf.deb ... Unpacking t1utils (1.41-4) ... Selecting previously unselected package tcl8.6. Preparing to unpack .../134-tcl8.6_8.6.13+dfsg-2_armhf.deb ... Unpacking tcl8.6 (8.6.13+dfsg-2) ... Selecting previously unselected package tcl. Preparing to unpack .../135-tcl_8.6.13_armhf.deb ... Unpacking tcl (8.6.13) ... Selecting previously unselected package zlib1g-dev:armhf. Preparing to unpack .../136-zlib1g-dev_1%3a1.2.13.dfsg-1_armhf.deb ... Unpacking zlib1g-dev:armhf (1:1.2.13.dfsg-1) ... Selecting previously unselected package tcl8.6-dev:armhf. Preparing to unpack .../137-tcl8.6-dev_8.6.13+dfsg-2_armhf.deb ... Unpacking tcl8.6-dev:armhf (8.6.13+dfsg-2) ... Selecting previously unselected package tcl-dev:armhf. Preparing to unpack .../138-tcl-dev_8.6.13_armhf.deb ... Unpacking tcl-dev:armhf (8.6.13) ... Selecting previously unselected package texlive-binaries. Preparing to unpack .../139-texlive-binaries_2022.20220321.62855-5.1_armhf.deb ... Unpacking texlive-binaries (2022.20220321.62855-5.1) ... Selecting previously unselected package xdg-utils. Preparing to unpack .../140-xdg-utils_1.1.3-4.1_all.deb ... Unpacking xdg-utils (1.1.3-4.1) ... Selecting previously unselected package texlive-base. Preparing to unpack .../141-texlive-base_2022.20230122-3_all.deb ... Unpacking texlive-base (2022.20230122-3) ... Selecting previously unselected package texlive-latex-base. Preparing to unpack .../142-texlive-latex-base_2022.20230122-3_all.deb ... Unpacking texlive-latex-base (2022.20230122-3) ... Selecting previously unselected package texlive-bibtex-extra. Preparing to unpack .../143-texlive-bibtex-extra_2022.20230122-4_all.deb ... Unpacking texlive-bibtex-extra (2022.20230122-4) ... Selecting previously unselected package texlive-font-utils. Preparing to unpack .../144-texlive-font-utils_2022.20230122-4_all.deb ... Unpacking texlive-font-utils (2022.20230122-4) ... Selecting previously unselected package texlive-fonts-extra. Preparing to unpack .../145-texlive-fonts-extra_2022.20230122-4_all.deb ... Unpacking texlive-fonts-extra (2022.20230122-4) ... Selecting previously unselected package texlive-fonts-recommended. Preparing to unpack .../146-texlive-fonts-recommended_2022.20230122-3_all.deb ... Unpacking texlive-fonts-recommended (2022.20230122-3) ... Selecting previously unselected package texlive-lang-greek. Preparing to unpack .../147-texlive-lang-greek_2022.20230122-1_all.deb ... Unpacking texlive-lang-greek (2022.20230122-1) ... Selecting previously unselected package texlive-latex-recommended. Preparing to unpack .../148-texlive-latex-recommended_2022.20230122-3_all.deb ... Unpacking texlive-latex-recommended (2022.20230122-3) ... Selecting previously unselected package texlive-pictures. Preparing to unpack .../149-texlive-pictures_2022.20230122-3_all.deb ... Unpacking texlive-pictures (2022.20230122-3) ... Selecting previously unselected package texlive-latex-extra. Preparing to unpack .../150-texlive-latex-extra_2022.20230122-4_all.deb ... Unpacking texlive-latex-extra (2022.20230122-4) ... Selecting previously unselected package texlive-plain-generic. Preparing to unpack .../151-texlive-plain-generic_2022.20230122-4_all.deb ... Unpacking texlive-plain-generic (2022.20230122-4) ... Selecting previously unselected package texlive-publishers. Preparing to unpack .../152-texlive-publishers_2022.20230122-4_all.deb ... Unpacking texlive-publishers (2022.20230122-4) ... Selecting previously unselected package texlive-science. Preparing to unpack .../153-texlive-science_2022.20230122-4_all.deb ... Unpacking texlive-science (2022.20230122-4) ... Selecting previously unselected package txt2man. Preparing to unpack .../154-txt2man_1.7.1-4_all.deb ... Unpacking txt2man (1.7.1-4) ... Setting up media-types (10.0.0) ... Setting up libpipeline1:armhf (1.5.7-1) ... Setting up libgraphite2-3:armhf (1.3.14-1) ... Setting up libpixman-1-0:armhf (0.42.2-1) ... Setting up libaom3:armhf (3.6.0-1) ... Setting up libabsl20220623:armhf (20220623.1-1) ... Setting up libxau6:armhf (1:1.0.9-1) ... Setting up libicu72:armhf (72.1-3) ... Setting up liblerc4:armhf (4.0.0+ds-2) ... Setting up bsdextrautils (2.38.1-5+b1) ... Setting up fonts-gfs-porson (1.1-7) ... Setting up libdatrie1:armhf (0.2.13-2+b1) ... Setting up libmagic-mgc (1:5.44-3) ... Setting up gawk (1:5.2.1-2) ... Setting up libarchive-zip-perl (1.68-1) ... Setting up libglib2.0-0:armhf (2.74.6-2) ... No schema files found: doing nothing. Setting up libpython3.11-stdlib:armhf (3.11.2-6) ... Setting up libtexluajit2:armhf (2022.20220321.62855-5.1) ... Setting up libdebhelper-perl (13.11.4) ... Setting up libbrotli1:armhf (1.0.9-2+b6) ... Setting up libfontbox-java (1:1.8.16-2) ... Setting up liblab-gamut1:armhf (2.42.2-7+b3) ... Setting up x11-common (1:7.7+23) ... invoke-rc.d: could not determine current runlevel Setting up X socket directories... /tmp/.X11-unix /tmp/.ICE-unix. Setting up libmagic1:armhf (1:5.44-3) ... Setting up libdeflate0:armhf (1.14-1) ... Setting up gettext-base (0.21-12) ... Setting up m4 (1.4.19-3) ... Setting up libzzip-0-13:armhf (0.13.72+dfsg.1-1.1) ... Setting up file (1:5.44-3) ... Setting up libsvtav1enc1:armhf (1.4.1+dfsg-1) ... Setting up libffi-dev:armhf (3.4.4-1) ... Setting up libjbig0:armhf (2.1-6.1) ... Setting up librav1e0:armhf (0.5.1-6) ... Setting up berkeley-abc (1.01+20221019git70cb339+dfsg-4) ... Setting up libgts-0.7-5:armhf (0.7.6+darcs121130-5+b1) ... Setting up iverilog (11.0-1.1+b1) ... Setting up libfontenc1:armhf (1:1.1.4-1) ... Setting up autotools-dev (20220109.1) ... Setting up libpkgconf3:armhf (1.8.1-1) ... Setting up libjpeg62-turbo:armhf (1:2.1.5-2) ... Setting up libx11-data (2:1.8.4-2) ... Setting up libteckit0:armhf (2.5.11+ds1-1+b1) ... Setting up libpathplan4:armhf (2.42.2-7+b3) ... Setting up libapache-pom-java (29-2) ... Setting up libncurses6:armhf (6.4-4) ... Setting up xfonts-encodings (1:1.0.4-2.2) ... Setting up libfribidi0:armhf (1.0.8-2.1) ... Setting up t1utils (1.41-4) ... Setting up libtexlua53-5:armhf (2022.20220321.62855-5.1) ... Setting up libpng16-16:armhf (1.6.39-2) ... Setting up libtcl8.6:armhf (8.6.13+dfsg-2) ... Setting up autopoint (0.21-12) ... Setting up fonts-dejavu-core (2.37-6) ... Setting up libgav1-1:armhf (0.18.0-1+b1) ... Setting up pkgconf-bin (1.8.1-1) ... Setting up libdav1d6:armhf (1.0.0-2) ... Setting up libltdl7:armhf (2.4.7-5) ... Setting up libkpathsea6:armhf (2022.20220321.62855-5.1) ... Setting up autoconf (2.71-3) ... Setting up libx265-199:armhf (3.5-2+b1) ... Setting up libwebp7:armhf (1.2.4-0.2) ... Setting up zlib1g-dev:armhf (1:1.2.13.dfsg-1) ... Setting up fonts-gfs-baskerville (1.1-6) ... Setting up sensible-utils (0.0.17+nmu1) ... Setting up libtiff6:armhf (4.5.0-6) ... Setting up libuchardet0:armhf (0.0.7-1) ... Setting up bison (2:3.8.2+dfsg-1+b1) ... update-alternatives: using /usr/bin/bison.yacc to provide /usr/bin/yacc (yacc) in auto mode Setting up fonts-lmodern (2.005-1) ... Setting up libsub-override-perl (0.09-4) ... Setting up libthai-data (0.1.29-1) ... Setting up libcdt5:armhf (2.42.2-7+b3) ... Setting up libcgraph6:armhf (2.42.2-7+b3) ... Setting up libde265-0:armhf (1.0.11-1) ... Setting up libbsd0:armhf (0.11.7-2) ... Setting up libyuv0:armhf (0.0~git20230123.b2528b0-1) ... Setting up libelf1:armhf (0.188-2.1) ... Setting up libxml2:armhf (2.9.14+dfsg-1.2) ... Setting up xdg-utils (1.1.3-4.1) ... update-alternatives: using /usr/bin/xdg-open to provide /usr/bin/open (open) in auto mode Setting up libsynctex2:armhf (2022.20220321.62855-5.1) ... Setting up libpython3-stdlib:armhf (3.11.2-1+b1) ... Setting up automake (1:1.16.5-1.3) ... update-alternatives: using /usr/bin/automake-1.16 to provide /usr/bin/automake (automake) in auto mode Setting up libfile-stripnondeterminism-perl (1.13.1-1) ... Setting up python3.11 (3.11.2-6) ... Setting up libice6:armhf (2:1.0.10-1) ... Setting up libxdmcp6:armhf (1:1.1.2-3) ... Setting up flex (2.6.4-8.2) ... Setting up tcl8.6 (8.6.13+dfsg-2) ... Setting up libncurses-dev:armhf (6.4-4) ... Setting up libxcb1:armhf (1.15-1) ... Setting up gettext (0.21-12) ... Setting up libpdfbox-java (1:1.8.16-2) ... Setting up libavif15:armhf (0.11.1-1) ... Setting up txt2man (1.7.1-4) ... Setting up libtool (2.4.7-5) ... Setting up libxcb-render0:armhf (1.15-1) ... Setting up fontconfig-config (2.14.1-4) ... Setting up tcl8.6-dev:armhf (8.6.13+dfsg-2) ... Setting up libheif1:armhf (1.15.1-1) ... Setting up libcommons-parent-java (56-1) ... Setting up libcommons-logging-java (1.2-3) ... Setting up python3 (3.11.2-1+b1) ... Setting up libxcb-shm0:armhf (1.15-1) ... Setting up libreadline-dev:armhf (8.2-1.3) ... Setting up pkgconf:armhf (1.8.1-1) ... Setting up intltool-debian (0.35.0+20060710.6) ... Setting up dh-autoreconf (20) ... Setting up libthai0:armhf (0.1.29-1) ... Setting up libptexenc1:armhf (2022.20220321.62855-5.1) ... Setting up libfreetype6:armhf (2.12.1+dfsg-5) ... Setting up pkg-config:armhf (1.8.1-1) ... Setting up ucf (3.0043+nmu1) ... Setting up dh-strip-nondeterminism (1.13.1-1) ... Setting up libgvpr2:armhf (2.42.2-7+b3) ... Setting up dwz (0.15-1) ... Setting up groff-base (1.22.4-10) ... Setting up tcl (8.6.13) ... Setting up libx11-6:armhf (2:1.8.4-2) ... Setting up libharfbuzz0b:armhf (6.0.0+dfsg-3) ... Setting up libfontconfig1:armhf (2.14.1-4) ... Setting up python3-lib2to3 (3.11.2-3) ... Setting up libsm6:armhf (2:1.2.3-1) ... Setting up python3-distutils (3.11.2-3) ... Setting up dh-python (5.20230130) ... Setting up libpaper1:armhf (1.1.29) ... Creating config file /etc/papersize with new version Setting up fontconfig (2.14.1-4) ... Regenerating fonts cache... done. Setting up libxpm4:armhf (1:3.5.12-1.1) ... Setting up libxrender1:armhf (1:0.9.10-1.1) ... Setting up po-debconf (1.0.21+nmu1) ... Setting up libpango-1.0-0:armhf (1.50.12+ds-1) ... Setting up libxext6:armhf (2:1.3.4-1+b1) ... Setting up libpaper-utils (1.1.29) ... Setting up xfonts-utils (1:7.7+6) ... Setting up man-db (2.11.2-2) ... Not building database; man-db/auto-update is not 'true'. Setting up libcairo2:armhf (1.16.0-7) ... Setting up tex-common (6.18) ... update-language: texlive-base not installed and configured, doing nothing! Setting up libgd3:armhf (2.3.3-9) ... Setting up libxt6:armhf (1:1.2.1-1.1) ... Setting up tcl-dev:armhf (8.6.13) ... Setting up lmodern (2.005-1) ... Setting up libpangoft2-1.0-0:armhf (1.50.12+ds-1) ... Setting up libpangocairo-1.0-0:armhf (1.50.12+ds-1) ... Setting up libxmu6:armhf (2:1.1.3-3) ... Setting up libxi6:armhf (2:1.8-1+b1) ... Setting up preview-latex-style (12.2-1) ... Setting up debhelper (13.11.4) ... Setting up libxaw7:armhf (2:1.0.14-1) ... Setting up libgvc6 (2.42.2-7+b3) ... Setting up texlive-binaries (2022.20220321.62855-5.1) ... update-alternatives: using /usr/bin/xdvi-xaw to provide /usr/bin/xdvi.bin (xdvi.bin) in auto mode update-alternatives: using /usr/bin/bibtex.original to provide /usr/bin/bibtex (bibtex) in auto mode Setting up texlive-base (2022.20230122-3) ... tl-paper: setting paper size for dvips to a4: /var/lib/texmf/dvips/config/config-paper.ps tl-paper: setting paper size for dvipdfmx to a4: /var/lib/texmf/dvipdfmx/dvipdfmx-paper.cfg tl-paper: setting paper size for xdvi to a4: /var/lib/texmf/xdvi/XDvi-paper tl-paper: setting paper size for pdftex to a4: /var/lib/texmf/tex/generic/tex-ini-files/pdftexconfig.tex Setting up graphviz (2.42.2-7+b3) ... Setting up texlive-plain-generic (2022.20230122-4) ... Setting up texlive-lang-greek (2022.20230122-1) ... Setting up texlive-font-utils (2022.20230122-4) ... Setting up texlive-latex-base (2022.20230122-3) ... Setting up texlive-fonts-extra (2022.20230122-4) ... Setting up texlive-latex-recommended (2022.20230122-3) ... Setting up texlive-pictures (2022.20230122-3) ... Setting up texlive-fonts-recommended (2022.20230122-3) ... Setting up texlive-publishers (2022.20230122-4) ... Setting up texlive-science (2022.20230122-4) ... Setting up texlive-latex-extra (2022.20230122-4) ... Setting up texlive-bibtex-extra (2022.20230122-4) ... Processing triggers for libc-bin (2.36-9) ... Processing triggers for tex-common (6.18) ... Running updmap-sys. This may take some time... done. Running mktexlsr /var/lib/texmf ... done. Building format(s) --all. This may take some time... done. Reading package lists... Building dependency tree... Reading state information... Reading extended state information... Initializing package states... Writing extended state information... Building tag database... -> Finished parsing the build-deps I: Building the package I: Running cd /build/yosys-0.23/ && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-buildpackage -us -uc -b && env PATH="/usr/sbin:/usr/bin:/sbin:/bin:/usr/games" HOME="/nonexistent/first-build" dpkg-genchanges -S > ../yosys_0.23-6_source.changes dpkg-buildpackage: info: source package yosys dpkg-buildpackage: info: source version 0.23-6 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Daniel Gröber dpkg-source --before-build . dpkg-buildpackage: info: host architecture armhf debian/rules clean PREFIX=/usr dh clean --with=python3 debian/rules override_dh_auto_clean make[1]: Entering directory '/build/yosys-0.23' dh_auto_clean make -j3 clean make[2]: Entering directory '/build/yosys-0.23' rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f rm -f kernel/version_7ce5011c24b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/binding.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/kernel/qcsat.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/fstdata.h share/include/kernel/mem.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/machxo2/cells_map.v share/machxo2/cells_sim.v share/machxo2/lutrams.txt share/machxo2/lutrams_map.v share/machxo2/brams.txt share/machxo2/brams_map.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make[2]: Leaving directory '/build/yosys-0.23' rm -f debian/man/*.1 rm -f Makefile.conf make[1]: Leaving directory '/build/yosys-0.23' dh_clean debian/rules binary PREFIX=/usr dh binary --with=python3 dh_update_autotools_config dh_autoreconf debian/rules override_dh_auto_configure make[1]: Entering directory '/build/yosys-0.23' printf '%s\n' \ 'CONFIG := gcc' \ 'ABCEXTERNAL=berkeley-abc' \ 'ABCPULL=0' \ 'STRIP=:' \ > Makefile.conf make[1]: Leaving directory '/build/yosys-0.23' debian/rules override_dh_auto_build-arch make[1]: Entering directory '/build/yosys-0.23' dh_auto_build -- all make -j3 "INSTALL=install --strip-program=true" all make[2]: Entering directory '/build/yosys-0.23' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCEXTERNAL=berkeley-abc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.23 (git sha1 7ce5011c24b)\"; }" > kernel/version_7ce5011c24b.cc gcc -o kernel/driver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/log.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc In file included from /usr/include/c++/12/bits/stl_algobase.h:67, from /usr/include/c++/12/bits/stl_tree.h:63, from /usr/include/c++/12/map:60, from ./kernel/yosys.h:42, from kernel/driver.cc:20: /usr/include/c++/12/bits/stl_iterator.h: In function 'std::reverse_iterator<_Iterator>::reference std::reverse_iterator<_Iterator>::operator*() const [with _Iterator = std::_Rb_tree_const_iterator, std::allocator > > >]': /usr/include/c++/12/bits/stl_iterator.h:260:7: note: parameter passing for argument of type 'const std::_Rb_tree_const_iterator, std::allocator > > >' changed in GCC 7.1 260 | operator*() const | ^~~~~~~~ mkdir -p kernel/ gcc -o kernel/calc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ gcc -o kernel/satgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ gcc -o kernel/mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ gcc -o kernel/ff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ gcc -o libs/json11/json11.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1237:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1237 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1228:37: note: 'undef_srst' was declared here 1228 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1223:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1223 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1214:37: note: 'undef_ce' was declared here 1214 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1209:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1209 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1200:37: note: 'undef_srst' was declared here 1200 | int undef_srst; | ^~~~~~~~~~ mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ gcc -o libs/fst/fstapi.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ gcc -o libs/fst/lz4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc frontends/ast/simplify.cc: In member function 'void Yosys::AST::AstNode::annotateTypedEnums(Yosys::AST::AstNode*)': frontends/ast/simplify.cc:219:71: warning: format '%lu' expects argument of type 'long unsigned int', but argument 2 has type 'std::vector::size_type' {aka 'unsigned int'} [-Wformat=] 219 | log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n", | ~~^ | | | long unsigned int | %u 220 | enum_item->children.size(), | ~~~~~~~~~~~~~~~~~~~~~~~~~~ | | | std::vector::size_type {aka unsigned int} mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/exec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/autoname.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc In file included from /usr/include/c++/12/vector:70, from ./kernel/yosys.h:45, from passes/cmds/qwp.cc:20: /usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const {anonymous}::QwpWorker::Node&}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector<{anonymous}::QwpWorker::Node>::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {{anonymous}::QwpWorker::Node}; _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]': /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<{anonymous}::QwpWorker::Node*, std::vector<{anonymous}::QwpWorker::Node> >' changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/vector:64: /usr/include/c++/12/bits/stl_vector.h: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = {anonymous}::QwpWorker::Node; _Alloc = std::allocator<{anonymous}::QwpWorker::Node>]': /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator<{anonymous}::QwpWorker::Node*, std::vector<{anonymous}::QwpWorker::Node> >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const double&}; _Tp = double; _Alloc = std::allocator]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_vector.h: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = double; _Alloc = std::allocator]': /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ /usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {std::pair}; _Tp = std::pair; _Alloc = std::allocator >]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector >::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/bits/stl_algo.h:60, from /usr/include/c++/12/algorithm:61, from ./kernel/yosys.h:47: /usr/include/c++/12/bits/stl_heap.h: In function 'void std::__adjust_heap(_RandomAccessIterator, _Distance, _Distance, _Tp, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Distance = int; _Tp = pair; _Compare = __gnu_cxx::__ops::_Iter_less_iter]': /usr/include/c++/12/bits/stl_heap.h:224:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 224 | __adjust_heap(_RandomAccessIterator __first, _Distance __holeIndex, | ^~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_heap.h: In function 'void std::__pop_heap(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare&) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]': /usr/include/c++/12/bits/stl_heap.h:254:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 254 | __pop_heap(_RandomAccessIterator __first, _RandomAccessIterator __last, | ^~~~~~~~~~ /usr/include/c++/12/bits/stl_heap.h:254:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 /usr/include/c++/12/bits/stl_heap.h:254:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 /usr/include/c++/12/bits/stl_algo.h: In function 'void std::__unguarded_linear_insert(_RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Val_less_iter]': /usr/include/c++/12/bits/stl_algo.h:1782:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1782 | __unguarded_linear_insert(_RandomAccessIterator __last, | ^~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_algo.h: In function 'void std::__insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]': /usr/include/c++/12/bits/stl_algo.h:1802:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1802 | __insertion_sort(_RandomAccessIterator __first, | ^~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_algo.h:1802:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 /usr/include/c++/12/bits/stl_algo.h: In function 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]': /usr/include/c++/12/bits/stl_algo.h:1908:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1908 | __introsort_loop(_RandomAccessIterator __first, | ^~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_algo.h:1908:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 In function 'void std::__sort_heap(_RandomAccessIterator, _RandomAccessIterator, _Compare&) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]', inlined from 'void std::__partial_sort(_RandomAccessIterator, _RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]' at /usr/include/c++/12/bits/stl_algo.h:1901:23, inlined from 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]' at /usr/include/c++/12/bits/stl_algo.h:1916:27: /usr/include/c++/12/bits/stl_heap.h:425:26: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 425 | std::__pop_heap(__first, __last, __last, __comp); | ~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_algo.h: In function 'void std::__introsort_loop(_RandomAccessIterator, _RandomAccessIterator, _Size, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Size = int; _Compare = __gnu_cxx::__ops::_Iter_less_iter]': /usr/include/c++/12/bits/stl_algo.h:1922:32: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1922 | std::__introsort_loop(__cut, __last, __depth_limit, __comp); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_algo.h: In function 'void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator*, vector > >]': /usr/include/c++/12/bits/stl_algo.h:4810:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 4810 | sort(_RandomAccessIterator __first, _RandomAccessIterator __last) | ^~~~ /usr/include/c++/12/bits/stl_algo.h:4810:5: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 In function 'void std::__sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]', inlined from 'void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator*, vector > >]' at /usr/include/c++/12/bits/stl_algo.h:4820:18: /usr/include/c++/12/bits/stl_algo.h:1937:32: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1937 | std::__introsort_loop(__first, __last, | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ 1938 | std::__lg(__last - __first) * 2, | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 1939 | __comp); | ~~~~~~~ In function 'void std::__final_insertion_sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]', inlined from 'void std::__sort(_RandomAccessIterator, _RandomAccessIterator, _Compare) [with _RandomAccessIterator = __gnu_cxx::__normal_iterator*, vector > >; _Compare = __gnu_cxx::__ops::_Iter_less_iter]' at /usr/include/c++/12/bits/stl_algo.h:1940:31, inlined from 'void std::sort(_RAIter, _RAIter) [with _RAIter = __gnu_cxx::__normal_iterator*, vector > >]' at /usr/include/c++/12/bits/stl_algo.h:4820:18: /usr/include/c++/12/bits/stl_algo.h:1849:32: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1849 | std::__insertion_sort(__first, __first + int(_S_threshold), __comp); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ /usr/include/c++/12/bits/stl_algo.h:1854:30: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 1854 | std::__insertion_sort(__first, __last, __comp); | ~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~ In member function 'void std::vector<_Tp, _Alloc>::emplace_back(_Args&& ...) [with _Args = {std::pair}; _Tp = std::pair; _Alloc = std::allocator >]', inlined from 'void std::vector<_Tp, _Alloc>::push_back(value_type&&) [with _Tp = std::pair; _Alloc = std::allocator >]' at /usr/include/c++/12/bits/stl_vector.h:1294:21, inlined from 'void {anonymous}::QwpWorker::run_worker(int)' at passes/cmds/qwp.cc:524:25: /usr/include/c++/12/bits/vector.tcc:123:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 123 | _M_realloc_insert(end(), std::forward<_Args>(__args)...); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/cmds/qwp.cc: In member function 'void {anonymous}::QwpWorker::run_worker(int)': passes/cmds/qwp.cc:526:26: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator*, std::vector > >' changed in GCC 7.1 526 | std::sort(sorted_pos.begin(), sorted_pos.end()); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ mkdir -p passes/cmds/ gcc -o passes/cmds/portlist.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ gcc -o passes/cmds/printattrs.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ gcc -o passes/cmds/sta.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ gcc -o passes/cmds/clean_zerowidth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_narrow.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_libmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bmux2rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc In file included from /usr/include/c++/12/vector:70, from passes/memory/memlib.h:24, from passes/memory/memlib.cc:20: /usr/include/c++/12/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(iterator, _Args&& ...) [with _Args = {const Yosys::MemLibrary::Ram&}; _Tp = Yosys::MemLibrary::Ram; _Alloc = std::allocator]': /usr/include/c++/12/bits/vector.tcc:439:7: note: parameter passing for argument of type 'std::vector::iterator' changed in GCC 7.1 439 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/12/vector:64: In member function 'void std::vector<_Tp, _Alloc>::push_back(const value_type&) [with _Tp = Yosys::MemLibrary::Ram; _Alloc = std::allocator]', inlined from 'void {anonymous}::Parser::compile_ram(int)' at passes/memory/memlib.cc:1026:22, inlined from 'void {anonymous}::Parser::parse_top_item()' at passes/memory/memlib.cc:795:16: /usr/include/c++/12/bits/stl_vector.h:1287:28: note: parameter passing for argument of type '__gnu_cxx::__normal_iterator >' changed in GCC 7.1 1287 | _M_realloc_insert(end(), __x); | ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~ mkdir -p passes/opt/ gcc -o passes/opt/opt_mem.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_feedback.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_priority.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut_ins.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_ffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_srl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_prune.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rom.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_memwr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/formalff.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ gcc -o passes/sat/fminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_exe.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"berkeley-abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_ops.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/clkbufmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc passes/techmap/abc.cc: In member function 'virtual void {anonymous}::AbcPass::execute(std::vector >, Yosys::RTLIL::Design*)': passes/techmap/abc.cc:1953:50: warning: 'g_argidx' may be used uninitialized [-Wmaybe-uninitialized] 1953 | cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str())); | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ passes/techmap/abc.cc:1671:32: note: 'g_argidx' was declared here 1671 | size_t argidx, g_argidx; | ^~~~~~~~ mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bmuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/demuxmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflegalize.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffunmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extractinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ gcc -o backends/aiger/xaiger.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ gcc -o backends/jny/jny.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ gcc -o backends/rtlil/rtlil_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/ecp5_gsr.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_gsr.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/synth_efinix.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/efinix_fixcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/synth_gatemate.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/gatemate_foldinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ gcc -o techlibs/intel_alm/synth_intel_alm.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/machxo2/ gcc -o techlibs/machxo2/synth_machxo2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/machxo2/synth_machxo2.cc mkdir -p techlibs/nexus/ gcc -o techlibs/nexus/synth_nexus.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ gcc -o techlibs/quicklogic/synth_quicklogic.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/xilinx_dffopt.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-g -O2 -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I/usr/share/yosys/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += ["/usr/share/yosys"]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/cells_map.v share/machxo2/cells_map.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/cells_sim.v share/machxo2/cells_sim.v mkdir -p share/machxo2 mkdir -p share/machxo2 cp "./"/techlibs/machxo2/lutrams.txt share/machxo2/lutrams.txt cp "./"/techlibs/machxo2/lutrams_map.v share/machxo2/lutrams_map.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/brams.txt share/machxo2/brams.txt mkdir -p share/machxo2 cp "./"/techlibs/machxo2/brams_map.v share/machxo2/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v mkdir -p share/quicklogic mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ gcc -o kernel/version_7ce5011c24b.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_7ce5011c24b.cc mkdir -p kernel/ gcc -o kernel/register.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ gcc -o passes/pmgen/test_pmgen.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc gcc -o yosys -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -rdynamic kernel/version_7ce5011c24b.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. make[2]: Leaving directory '/build/yosys-0.23' make[1]: Leaving directory '/build/yosys-0.23' debian/rules override_dh_auto_build-indep make[1]: Entering directory '/build/yosys-0.23' sed -i 's/REPLACEWITHDATE/December 03, 2022/' manual/presentation.tex PDF_DATE=D:20221203221520Z dh_auto_build -- all manual make -j3 "INSTALL=install --strip-program=true" all manual make[2]: Entering directory '/build/yosys-0.23' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCEXTERNAL=berkeley-abc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: cd manual && PDF_DATE=D:20221203221520Z bash appnotes.sh Build successful. + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' ++ '[' -f APPNOTE_010_Verilog_to_BLIF.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{APPNOTE_010_Verilog_to_BLIF.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./APPNOTE_010_Verilog_to_BLIF.tex (/usr/share/texlive/texmf-dist/tex/latex/ieeetran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file APPNOTE_010_Verilog_to_BLIF.aux. -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Citation `blif' on page 1 undefined on input line 64. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 69. (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 97. LaTeX Warning: Citation `bigsim' on page 1 undefined on input line 98. LaTeX Warning: Citation `navre' on page 1 undefined on input line 104. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Underfull \hbox (badness 2221) in paragraph at lines 157--162 []\T1/ptm/m/n/9 The 2nd line checks the de-sign hi-er-ar-chy and in-stan-ti-ate s [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map} pdfTeX warning (ext4): destination with the same identifier (name{figure.1}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.211 pdfTeX warning (ext4): destination with the same identifier (name{figure.2}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.211 pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.211 ] LaTeX Warning: Citation `amber' on page 2 undefined on input line 244. LaTeX Warning: Citation `bigsim' on page 2 undefined on input line 246. LaTeX Warning: Reference `glob_arst' on page 2 undefined on input line 278. LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 290. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 345. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 394. LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 395. LaTeX Warning: Reference `sieve' on page 2 undefined on input line 397. LaTeX Warning: Citation `ABC' on page 2 undefined on input line 401. [2 pdfTeX warning (ext4): destination with the same identifier (name{figure.4}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 pdfTeX warning (ext4): destination with the same identifier (name{figure.5}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 ] [3 pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}{/usr/share/texlive/texmf-dist/font s/enc/dvips/base/8r.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 121682 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='ca27aa4b209c6fbe385aed042119c12e -' + '[' '' '!=' 'ca27aa4b209c6fbe385aed042119c12e -' ']' + old_md5='ca27aa4b209c6fbe385aed042119c12e -' + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{APPNOTE_010_Verilog_to_BLIF.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./APPNOTE_010_Verilog_to_BLIF.tex (/usr/share/texlive/texmf-dist/tex/latex/ieeetran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./APPNOTE_010_Verilog_to_BLIF.aux) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Underfull \hbox (badness 2221) in paragraph at lines 157--162 []\T1/ptm/m/n/9 The 2nd line checks the de-sign hi-er-ar-chy and in-stan-ti-ate s [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map} pdfTeX warning (ext4): destination with the same identifier (name{figure.1}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.211 pdfTeX warning (ext4): destination with the same identifier (name{figure.2}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.211 pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.211 ] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [2 pdfTeX warning (ext4): destination with the same identifier (name{figure.4}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 pdfTeX warning (ext4): destination with the same identifier (name{figure.5}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.403 ] [3 pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/inconsolata/i4-t1-0.enc}{/usr/share/texlive/texmf-dist/font s/enc/dvips/base/8r.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 121559 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='ca27aa4b209c6fbe385aed042119c12e -' + '[' 'ca27aa4b209c6fbe385aed042119c12e -' '!=' 'ca27aa4b209c6fbe385aed042119c12e -' ']' + grep -av '^/ID \[\(<[0-9A-F]\{32\}>\) \1]$' APPNOTE_010_Verilog_to_BLIF.pdf + mv -f APPNOTE_010_Verilog_to_BLIF.pdf.without_pdf_id APPNOTE_010_Verilog_to_BLIF.pdf + touch APPNOTE_010_Verilog_to_BLIF.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_011_Design_Investigation.ok -a APPNOTE_011_Design_Investigation.ok -nt APPNOTE_011_Design_Investigation.tex ']' + '[' -f APPNOTE_011_Design_Investigation/make.sh ']' + cd APPNOTE_011_Design_Investigation + bash make.sh + false + for dot_file in *.dot + pdf_file=cmos_00.pdf + dot -Tpdf -o cmos_00.pdf cmos_00.dot + for dot_file in *.dot + pdf_file=cmos_01.pdf + dot -Tpdf -o cmos_01.pdf cmos_01.dot + for dot_file in *.dot + pdf_file=example_00.pdf + dot -Tpdf -o example_00.pdf example_00.dot + for dot_file in *.dot + pdf_file=example_01.pdf + dot -Tpdf -o example_01.pdf example_01.dot + for dot_file in *.dot + pdf_file=example_02.pdf + dot -Tpdf -o example_02.pdf example_02.dot + for dot_file in *.dot + pdf_file=example_03.pdf + dot -Tpdf -o example_03.pdf example_03.dot + for dot_file in *.dot + pdf_file=memdemo_00.pdf + dot -Tpdf -o memdemo_00.pdf memdemo_00.dot + for dot_file in *.dot + pdf_file=memdemo_01.pdf + dot -Tpdf -o memdemo_01.pdf memdemo_01.dot + for dot_file in *.dot + pdf_file=splice.pdf + dot -Tpdf -o splice.pdf splice.dot + for dot_file in *.dot + pdf_file=submod_00.pdf + dot -Tpdf -o submod_00.pdf submod_00.dot + for dot_file in *.dot + pdf_file=submod_01.pdf + dot -Tpdf -o submod_01.pdf submod_01.dot + for dot_file in *.dot + pdf_file=submod_02.pdf + dot -Tpdf -o submod_02.pdf submod_02.dot + for dot_file in *.dot + pdf_file=submod_03.pdf + dot -Tpdf -o submod_03.pdf submod_03.dot + for dot_file in *.dot + pdf_file=sumprod_00.pdf + dot -Tpdf -o sumprod_00.pdf sumprod_00.dot + for dot_file in *.dot + pdf_file=sumprod_01.pdf + dot -Tpdf -o sumprod_01.pdf sumprod_01.dot + for dot_file in *.dot + pdf_file=sumprod_02.pdf + dot -Tpdf -o sumprod_02.pdf sumprod_02.dot + for dot_file in *.dot + pdf_file=sumprod_03.pdf + dot -Tpdf -o sumprod_03.pdf sumprod_03.dot + for dot_file in *.dot + pdf_file=sumprod_04.pdf + dot -Tpdf -o sumprod_04.pdf sumprod_04.dot + for dot_file in *.dot + pdf_file=sumprod_05.pdf + dot -Tpdf -o sumprod_05.pdf sumprod_05.dot + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' cmos_00.pdf cmos_01.pdf example_00.pdf example_01.pdf example_02.pdf example_03.pdf memdemo_00.pdf memdemo_01.pdf splice.pdf submod_00.pdf submod_01.pdf submod_02.pdf submod_03.pdf sumprod_00.pdf sumprod_01.pdf sumprod_02.pdf sumprod_03.pdf sumprod_04.pdf sumprod_05.pdf + cd .. ++ '[' -f APPNOTE_011_Design_Investigation.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{APPNOTE_011_Design_Investigation.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./APPNOTE_011_Design_Investigation.tex (/usr/share/texlive/texmf-dist/tex/latex/ieeetran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file APPNOTE_011_Design_Investigation.aux. -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 61. (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 74. LaTeX Warning: Citation `graphviz' on page 1 undefined on input line 76. LaTeX Warning: Citation `xdot' on page 1 undefined on input line 77. LaTeX Warning: Reference `intro_show' on page 1 undefined on input line 83. LaTeX Warning: Reference `navigate' on page 1 undefined on input line 86. LaTeX Warning: Reference `poke' on page 1 undefined on input line 90. LaTeX Warning: Reference `conclusion' on page 1 undefined on input line 93. (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `example_src' on page 1 undefined on input line 124. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 138. LaTeX Warning: Reference `example_out' on page 1 undefined on input line 146. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map} pdfTeX warning (ext4): destination with the same identifier (name{figure.1}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.177 pdfTeX warning (ext4): destination with the same identifier (name{figure.2}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.177 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `example_out' on page 2 undefined on input line 195. libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./APPNOTE_011_Design_Investigation/splice.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] LaTeX Warning: Reference `splice_dia' on page 2 undefined on input line 226. LaTeX Warning: Reference `splice_src' on page 2 undefined on input line 226. Underfull \hbox (badness 3849) in paragraph at lines 229--236 \T1/ptm/m/n/9 of course the boxes with round cor-ners and rows la-beled LaTeX Warning: Reference `splitnets_libfile' on page 2 undefined on input line 246. [2 pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure.4}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure.5}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.262 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `example_src' on page 3 undefined on input line 337. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 355. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 355. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 369. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 370. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 372. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 373. LaTeX Warning: Reference `dump2' on page 3 undefined on input line 386. libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `example_out' on page 3 undefined on input line 398. [3 pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.407 pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.407 ] LaTeX Warning: Reference `seladd' on page 4 undefined on input line 412. LaTeX Warning: Reference `seladd' on page 4 undefined on input line 420. (./APPNOTE_011_Design_Investigation/foobaraddsub.v) (./APPNOTE_011_Design_Investigation/sumprod.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 455. LaTeX Warning: Reference `foobaraddsub' on page 4 undefined on input line 470. LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 485. LaTeX Warning: Reference `sumprod_00' on page 4 undefined on input line 491. LaTeX Warning: Reference `sumprod_01' on page 4 undefined on input line 500. [4 pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 pdfTeX warning (ext4): destination with the same identifier (name{figure.9}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 pdfTeX warning (ext4): destination with the same identifier (name{figure.10}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 pdfTeX warning (ext4): destination with the same identifier (name{figure.11}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 <./APPNOTE_011_Design_Investigation/example_03.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `sumprod' on page 5 undefined on input line 504. LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 510. LaTeX Warning: Reference `select_prod' on page 5 undefined on input line 519. libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 552. LaTeX Warning: Reference `memdemo_00' on page 5 undefined on input line 555. (./APPNOTE_011_Design_Investigation/memdemo.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 565. [5 pdfTeX warning (ext4): destination with the same identifier (name{figure.12}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.603 pdfTeX warning (ext4): destination with the same identifier (name{figure.13}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.603 pdfTeX warning (ext4): destination with the same identifier (name{figure.14}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.603 <./APPNOTE_011_Design_Investigation/sumprod_01.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `memdemo_01' on page 6 undefined on input line 632. LaTeX Warning: Reference `submod' on page 6 undefined on input line 681. LaTeX Warning: Reference `memdemo_src' on page 6 undefined on input line 682. LaTeX Warning: Reference `memdemo_00' on page 6 undefined on input line 682. libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... LaTeX Warning: Reference `memdemo_src' on page 6 undefined on input line 699. LaTeX Warning: Reference `memdemo_00' on page 6 undefined on input line 699. LaTeX Warning: Reference `submod' on page 6 undefined on input line 706. [6 pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.726 pdfTeX warning (ext4): destination with the same identifier (name{figure.16}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.726 <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNOTE_011_Desig n_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/primetest.v) LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Citation `CircuitSAT' on page 7 undefined on input line 834. LaTeX Warning: Citation `MiniSAT' on page 7 undefined on input line 834. LaTeX Warning: Reference `primetest' on page 7 undefined on input line 875. LaTeX Warning: Reference `primesat' on page 7 undefined on input line 879. LaTeX Warning: Reference `primesat' on page 7 undefined on input line 887. [7 pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.889 pdfTeX warning (ext4): destination with the same identifier (name{figure.18}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.889 <./APPNOTE_011_Design_Investigation/submod_00.pdf> <./APPNOTE_011_Design _Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `memdemo_src' on page 8 undefined on input line 977. LaTeX Warning: Reference `memdemo_src' on page 8 undefined on input line 977. LaTeX Warning: Reference `memdemo_src' on page 8 undefined on input line 983. LaTeX Warning: Reference `memdemo_sat' on page 8 undefined on input line 986. [8 pdfTeX warning (ext4): destination with the same identifier (name{figure.19}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.988 ] LaTeX Warning: Citation `tip' on page 9 undefined on input line 1020. (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [9 pdfTeX warning (ext4): destination with the same identifier (name{figure.20}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/ inconsolata/i4-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsola ta/i4-t1-0.enc} Output written on APPNOTE_011_Design_Investigation.pdf (9 pages, 322130 bytes). Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='6bcfb9fef54c60abbd94acf1af2e3fcc -' + '[' '' '!=' '6bcfb9fef54c60abbd94acf1af2e3fcc -' ']' + old_md5='6bcfb9fef54c60abbd94acf1af2e3fcc -' + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{APPNOTE_011_Design_Investigation.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./APPNOTE_011_Design_Investigation.tex (/usr/share/texlive/texmf-dist/tex/latex/ieeetran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./APPNOTE_011_Design_Investigation.aux) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... [1{/var/lib/tex mf/fonts/map/pdftex/updmap/pdftex.map} pdfTeX warning (ext4): destination with the same identifier (name{figure.1}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.177 pdfTeX warning (ext4): destination with the same identifier (name{figure.2}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.177 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./APPNOTE_011_Design_Investigation/splice.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] Underfull \hbox (badness 3849) in paragraph at lines 229--236 \T1/ptm/m/n/9 of course the boxes with round cor-ners and rows la-beled [2 pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure.4}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure.5}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.262 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... [3 pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.407 pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.407 ] (./APPNOTE_011_Design_Investigation/foobaraddsub.v) (./APPNOTE_011_Design_Investigation/sumprod.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... [4 pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 pdfTeX warning (ext4): destination with the same identifier (name{figure.9}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 pdfTeX warning (ext4): destination with the same identifier (name{figure.10}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 pdfTeX warning (ext4): destination with the same identifier (name{figure.11}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.501 <./APPNOTE_011_Design_Investigation/example_03.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./APPNOTE_011_Design_Investigation/memdemo.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... [5 pdfTeX warning (ext4): destination with the same identifier (name{figure.12}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.603 pdfTeX warning (ext4): destination with the same identifier (name{figure.13}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.603 pdfTeX warning (ext4): destination with the same identifier (name{figure.14}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.603 <./APPNOTE_011_Design_Investigation/sumprod_01.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... [6 pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.726 pdfTeX warning (ext4): destination with the same identifier (name{figure.16}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.726 <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNOTE_011_Desig n_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/primetest.v) [7 pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.889 pdfTeX warning (ext4): destination with the same identifier (name{figure.18}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.889 <./APPNOTE_011_Design_Investigation/submod_00.pdf> <./APPNOTE_011_Design _Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] [8 pdfTeX warning (ext4): destination with the same identifier (name{figure.19}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.988 ] (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [9 pdfTeX warning (ext4): destination with the same identifier (name{figure.20}) h as been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/ inconsolata/i4-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsola ta/i4-t1-0.enc} Output written on APPNOTE_011_Design_Investigation.pdf (9 pages, 324179 bytes). Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='6bcfb9fef54c60abbd94acf1af2e3fcc -' + '[' '6bcfb9fef54c60abbd94acf1af2e3fcc -' '!=' '6bcfb9fef54c60abbd94acf1af2e3fcc -' ']' + grep -av '^/ID \[\(<[0-9A-F]\{32\}>\) \1]$' APPNOTE_011_Design_Investigation.pdf + mv -f APPNOTE_011_Design_Investigation.pdf.without_pdf_id APPNOTE_011_Design_Investigation.pdf + touch APPNOTE_011_Design_Investigation.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_012_Verilog_to_BTOR.ok -a APPNOTE_012_Verilog_to_BTOR.ok -nt APPNOTE_012_Verilog_to_BTOR.tex ']' + '[' -f APPNOTE_012_Verilog_to_BTOR/make.sh ']' ++ '[' -f APPNOTE_012_Verilog_to_BTOR.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{APPNOTE_012_Verilog_to_BTOR.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./APPNOTE_012_Verilog_to_BTOR.tex (/usr/share/texlive/texmf-dist/tex/latex/ieeetran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file APPNOTE_012_Verilog_to_BTOR.aux. -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) LaTeX Warning: Citation `btor' on page 1 undefined on input line 61. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 65. (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 85. LaTeX Warning: Citation `btor' on page 1 undefined on input line 94. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Reference `specifying_property_assert' on page 1 undefined on in put line 118. LaTeX Warning: Reference `specifying_property_output' on page 1 undefined on in put line 123. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) LaTeX Warning: Citation `boolector' on page 1 undefined on input line 177. LaTeX Warning: Citation `nuxmv' on page 1 undefined on input line 192. LaTeX Warning: Reference `btor_script_memory' on page 1 undefined on input line 202. [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map} pdfTeX warning (ext4): destination with the same identifier (name{figure.1}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 pdfTeX warning (ext4): destination with the same identifier (name{figure.2}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 pdfTeX warning (ext4): destination with the same identifier (name{figure.4}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 ] LaTeX Warning: Reference `btor_script_without_memory' on page 2 undefined on in put line 257. Underfull \vbox (badness 2096) has occurred while \output is active LaTeX Warning: Reference `btor_script_memory' on page 2 undefined on input line 308. LaTeX Warning: Reference `btor_script_without_memory' on page 2 undefined on in put line 347. Underfull \vbox (badness 2073) has occurred while \output is active [2 pdfTeX warning (ext4): destination with the same identifier (name{figure.5}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 ] [3 pdfTeX warning (ext4): destination with the same identifier (name{figure.9}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.435 \end{document} ] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/ inconsolata/i4-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsola ta/i4-t1-0.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (3 pages, 132440 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='76b15ab3a97e2a59fd8d034e4394c74b -' + '[' '' '!=' '76b15ab3a97e2a59fd8d034e4394c74b -' ']' + old_md5='76b15ab3a97e2a59fd8d034e4394c74b -' + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{APPNOTE_012_Verilog_to_BTOR.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./APPNOTE_012_Verilog_to_BTOR.tex (/usr/share/texlive/texmf-dist/tex/latex/ieeetran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./APPNOTE_012_Verilog_to_BTOR.aux LaTeX Warning: Label `example_btor' multiply defined. ) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) [1{/var/lib/tex mf/fonts/map/pdftex/updmap/pdftex.map} pdfTeX warning (ext4): destination with the same identifier (name{figure.1}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 pdfTeX warning (ext4): destination with the same identifier (name{figure.2}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 pdfTeX warning (ext4): destination with the same identifier (name{figure.4}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.226 ] Underfull \vbox (badness 2096) has occurred while \output is active Underfull \vbox (badness 2073) has occurred while \output is active [2 pdfTeX warning (ext4): destination with the same identifier (name{figure.5}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.392 ] [3 pdfTeX warning (ext4): destination with the same identifier (name{figure.9}) ha s been already used, duplicate ignored ...shipout:D \box_use:N \l_shipout_box \__shipout_drop_firstpage_... l.435 \end{document} ] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were multiply-defined labels. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/ inconsolata/i4-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsola ta/i4-t1-0.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (3 pages, 132582 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='76b15ab3a97e2a59fd8d034e4394c74b -' + '[' '76b15ab3a97e2a59fd8d034e4394c74b -' '!=' '76b15ab3a97e2a59fd8d034e4394c74b -' ']' + grep -av '^/ID \[\(<[0-9A-F]\{32\}>\) \1]$' APPNOTE_012_Verilog_to_BTOR.pdf + mv -f APPNOTE_012_Verilog_to_BTOR.pdf.without_pdf_id APPNOTE_012_Verilog_to_BTOR.pdf + touch APPNOTE_012_Verilog_to_BTOR.ok cd manual && PDF_DATE=D:20221203221520Z bash presentation.sh + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux '*.snm' '*.nav' '*.toc' md5sum: '*.snm': No such file or directory md5sum: '*.nav': No such file or directory md5sum: '*.toc': No such file or directory + make -C PRESENTATION_Intro make[3]: Entering directory '/build/yosys-0.23/manual' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. ../../yosys counter.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \counter 2.2. Analyzing design hierarchy.. Top module: \counter Removed 0 unused modules. 3. Generating Graphviz representation of design. Writing dot description to `counter_00.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf' 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. 4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 4.4. Executing PROC_INIT pass (extract init attributes). 4.5. Executing PROC_ARST pass (detect async resets in processes). 4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). 4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $procdff$8 ($dff) from module counter (D = $procmux$3_Y, Q = \count, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$9 ($sdff) from module counter (D = $add$counter.v:10$2_Y, Q = \count). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 2 unused cells and 5 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 6.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 6.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 6.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). 6.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 6.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). 6.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 6.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 6.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.10. Executing MEMORY_COLLECT pass (generating $mem cells). 6.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_DFF pass (perform DFF optimizations). 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_DFF pass (perform DFF optimizations). 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Writing dot description to `counter_01.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf' 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: /build/yosys-0.23/share/techmap.v Parsing Verilog input from `/build/yosys-0.23/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $sdffe. Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $and. Using template $paramod\_90_lcu\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $or. No more expansions possible. 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_DFF pass (perform DFF optimizations). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_DFF pass (perform DFF optimizations). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.16. Finished OPT passes. (There is nothing left to do.) 13. Executing SPLITNETS pass (splitting up multi-bit signals). Removed 0 unused cells and 2 unused wires. 14. Generating Graphviz representation of design. Writing dot description to `counter_02.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf' 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. final dff cell mappings: unmapped dff cell: $_DFF_N_ \DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 16. Executing ABC pass (technology mapping using ABC). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Extracted 6 gates and 11 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Running ABC command: "berkeley-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_Intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. ABC RESULTS: NOT cells: 3 ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: internal signals: 5 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. Writing dot description to `counter_03.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' End of script. Logfile hash: a1e6cccca1, CPU: user 0.77s system 0.12s, MEM: 10.00 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 76% 4x show (4 sec), 11% 1x abc (0 sec), ... make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_Intro' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_Intro/counter_00.pdf PRESENTATION_Intro/counter_01.pdf PRESENTATION_Intro/counter_02.pdf PRESENTATION_Intro/counter_03.pdf + make -C PRESENTATION_ExSyn make[3]: Entering directory '/build/yosys-0.23/manual' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. ../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' -- -- Executing script file `proc_01.ys' -- 1. Executing Verilog-2005 frontend: proc_01.v Parsing Verilog input from `proc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_01.v:2$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_01.v:2$1'. 1/1: $0\Q[0:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. created $adff cell `$procdff$2' with positive edge clock and positive level reset. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_01.v:2$1'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' End of script. Logfile hash: c6fd6e6895, CPU: user 0.06s system 0.02s, MEM: 8.60 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 96% 1x show (1 sec), 1% 1x clean (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' -- -- Executing script file `proc_02.ys' -- 1. Executing Verilog-2005 frontend: proc_02.v Parsing Verilog input from `proc_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_02.v:3$1'. 1/1: $0\Q[0:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. Warning: Async reset value `\RV' is not constant! created $aldff cell `$procdff$2' with positive edge clock and positive level non-const reset. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_02.v:3$1'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' Warnings: 1 unique messages, 1 total End of script. Logfile hash: 6c9c8edaef, CPU: user 0.06s system 0.02s, MEM: 8.59 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 97% 1x show (1 sec), 1% 1x clean (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' -- -- Executing script file `proc_03.ys' -- 1. Executing Verilog-2005 frontend: proc_03.v Parsing Verilog input from `proc_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_03.v:3$1'. 1/1: $0\Y[0:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. 3.9. Executing PROC_DFF pass (convert process syncs to FFs). 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. Removing empty process `test.$proc$proc_03.v:3$1'. Cleaned up 2 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. Removed 0 unused cells and 4 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' End of script. Logfile hash: 13c48860df, CPU: user 0.06s system 0.03s, MEM: 8.59 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 96% 1x show (1 sec), 1% 1x clean (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' -- -- Executing script file `opt_01.ys' -- 1. Executing Verilog-2005 frontend: opt_01.v Parsing Verilog input from `opt_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$opt_01.v:2$1. Removed 1 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Optimizing cells in module \test. Performed a total of 1 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_DFF pass (perform DFF optimizations). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.13. Executing OPT_DFF pass (perform DFF optimizations). 3.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 3.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.16. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' End of script. Logfile hash: 9f52b2c276, CPU: user 0.10s system 0.03s, MEM: 8.62 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 92% 1x show (1 sec), 2% 3x opt_expr (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' -- -- Executing script file `opt_02.ys' -- 1. Executing Verilog-2005 frontend: opt_02.v Parsing Verilog input from `opt_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_DFF pass (perform DFF optimizations). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.13. Executing OPT_DFF pass (perform DFF optimizations). 3.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 3.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.16. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' End of script. Logfile hash: 5a4000bb43, CPU: user 0.15s system 0.02s, MEM: 8.58 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 90% 1x show (1 sec), 3% 3x opt_expr (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' -- -- Executing script file `opt_03.ys' -- 1. Executing Verilog-2005 frontend: opt_03.v Parsing Verilog input from `opt_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_DFF pass (perform DFF optimizations). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.13. Executing OPT_DFF pass (perform DFF optimizations). 3.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 3.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.16. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' End of script. Logfile hash: 0cd024bc02, CPU: user 0.11s system 0.03s, MEM: 8.60 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 92% 1x show (1 sec), 2% 3x opt_expr (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' -- -- Executing script file `opt_04.ys' -- 1. Executing Verilog-2005 frontend: opt_04.v Parsing Verilog input from `opt_04.v' to AST representation. Generating RTLIL representation for module `\test'. Warning: wire '\Q1' is assigned in a block at opt_04.v:8.3-8.11. Warning: wire '\Q2' is assigned in a block at opt_04.v:12.3-12.11. Warning: wire '\Q2' is assigned in a block at opt_04.v:14.3-14.11. Warning: wire '\Q3' is assigned in a block at opt_04.v:17.2-17.10. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 1 redundant assignment. Promoted 1 assignment to connection. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \ARST in `\test.$proc$opt_04.v:10$2'. Found async reset \ARST in `\test.$proc$opt_04.v:6$1'. 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$opt_04.v:16$3'. Creating decoders for process `\test.$proc$opt_04.v:10$2'. 1/1: $0\Q2[7:0] Creating decoders for process `\test.$proc$opt_04.v:6$1'. 1/1: $0\Q1[7:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'. created $adff cell `$procdff$5' with positive edge clock and positive level reset. Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'. created $adff cell `$procdff$6' with positive edge clock and positive level reset. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$opt_04.v:16$3'. Removing empty process `test.$proc$opt_04.v:10$2'. Removing empty process `test.$proc$opt_04.v:6$1'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). Handling const CLK on $procdff$5 ($adff) from module test (removing D path). Handling D = Q on $procdff$6 ($adff) from module test (removing D path). Setting constant 0-bit at position 0 on $procdff$6 ($dlatch) from module test. Setting constant 1-bit at position 1 on $procdff$6 ($dlatch) from module test. Setting constant 0-bit at position 2 on $procdff$6 ($dlatch) from module test. Setting constant 1-bit at position 3 on $procdff$6 ($dlatch) from module test. Setting constant 0-bit at position 4 on $procdff$6 ($dlatch) from module test. Setting constant 1-bit at position 5 on $procdff$6 ($dlatch) from module test. Setting constant 0-bit at position 6 on $procdff$6 ($dlatch) from module test. Setting constant 0-bit at position 7 on $procdff$6 ($dlatch) from module test. Setting constant 0-bit at position 0 on $procdff$5 ($dlatch) from module test. Setting constant 1-bit at position 1 on $procdff$5 ($dlatch) from module test. Setting constant 0-bit at position 2 on $procdff$5 ($dlatch) from module test. Setting constant 1-bit at position 3 on $procdff$5 ($dlatch) from module test. Setting constant 0-bit at position 4 on $procdff$5 ($dlatch) from module test. Setting constant 1-bit at position 5 on $procdff$5 ($dlatch) from module test. Setting constant 0-bit at position 6 on $procdff$5 ($dlatch) from module test. Setting constant 0-bit at position 7 on $procdff$5 ($dlatch) from module test. Setting constant 0-bit at position 0 on $procdff$4 ($dff) from module test. Setting constant 1-bit at position 1 on $procdff$4 ($dff) from module test. Setting constant 0-bit at position 2 on $procdff$4 ($dff) from module test. Setting constant 1-bit at position 3 on $procdff$4 ($dff) from module test. Setting constant 0-bit at position 4 on $procdff$4 ($dff) from module test. Setting constant 1-bit at position 5 on $procdff$4 ($dff) from module test. Setting constant 0-bit at position 6 on $procdff$4 ($dff) from module test. Setting constant 0-bit at position 7 on $procdff$4 ($dff) from module test. 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `opt_04.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' Warnings: 4 unique messages, 4 total End of script. Logfile hash: 350e16de2a, CPU: user 0.14s system 0.03s, MEM: 8.48 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 90% 1x show (1 sec), 3% 4x opt_expr (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' -- -- Executing script file `memory_01.ys' -- 1. Executing Verilog-2005 frontend: memory_01.v Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 4 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_01.v:5$2'. 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$7' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$9' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$10' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_01.v:5$2'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. Removed 3 unused cells and 7 unused wires. 4. Executing MEMORY pass. 4.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing test.mem write port 0. 4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\mem'[0] in module `\test': merging output FF to cell. Write port 0: non-transparent. 4.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 9 unused wires. 4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 4.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.10. Executing MEMORY_COLLECT pass (generating $mem cells). 4.11. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Mapping memory \mem in module \test: created 2 $dff cells and 0 static cells of width 8. Extracted data FF from read port 0 of test.mem: $\mem$rdreg[0] read interface: 1 $dff and 1 $mux cells. write interface: 2 write mux blocks. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 6 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $memory\mem[1]$23 ($dff) from module test (D = \DIN, Q = \mem[1]). Adding EN signal on $memory\mem[0]$21 ($dff) from module test (D = \DIN, Q = \mem[0]). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 2 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Rerunning OPT passes. (Maybe there is more to do..) 5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.20. Executing OPT_DFF pass (perform DFF optimizations). 5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 5.22. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.23. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' End of script. Logfile hash: d4215140a5, CPU: user 0.25s system 0.02s, MEM: 8.72 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 83% 1x show (1 sec), 4% 5x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' -- -- Executing script file `memory_02.ys' -- 1. Executing Verilog-2005 frontend: memory_02.v Parsing Verilog input from `memory_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$memory_02.v:17$10 in module test. Marked 1 switch rules as full_case in process $proc$memory_02.v:13$3 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 8 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_02.v:24$19'. Creating decoders for process `\test.$proc$memory_02.v:21$17'. Creating decoders for process `\test.$proc$memory_02.v:17$10'. 1/3: $1$memwr$\memory$memory_02.v:19$2_EN[7:0]$16 2/3: $1$memwr$\memory$memory_02.v:19$2_DATA[7:0]$15 3/3: $1$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$14 Creating decoders for process `\test.$proc$memory_02.v:13$3'. 1/3: $1$memwr$\memory$memory_02.v:15$1_EN[7:0]$9 2/3: $1$memwr$\memory$memory_02.v:15$1_DATA[7:0]$8 3/3: $1$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$7 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$19'. created $dff cell `$procdff$39' with positive edge clock. Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$17'. created $dff cell `$procdff$40' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$10'. created $dff cell `$procdff$41' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$10'. created $dff cell `$procdff$42' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$10'. created $dff cell `$procdff$43' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$44' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$45' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$46' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_02.v:24$19'. Removing empty process `test.$proc$memory_02.v:21$17'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$10'. Removing empty process `test.$proc$memory_02.v:17$10'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. Removing empty process `test.$proc$memory_02.v:13$3'. Cleaned up 2 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. Removed 6 unused cells and 26 unused wires. 4. Executing MEMORY pass. 4.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 4.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 4.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing test.memory write port 0. Analyzing test.memory write port 1. 4.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 4.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\memory'[0] in module `\test': merging output FF to cell. Checking read port `\memory'[1] in module `\test': merging output FF to cell. 4.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 2 unused cells and 18 unused wires. 4.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating read ports of memory test.memory by address: Consolidating write ports of memory test.memory by address: Consolidating write ports of memory test.memory using sat-based resource sharing: 4.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 4.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.10. Executing MEMORY_COLLECT pass (generating $mem cells). 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Consolidated identical input bits for $mux cell $procmux$31: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } Consolidated identical input bits for $mux cell $procmux$22: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$13 [0] } Optimizing cells in module \test. Performed a total of 2 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 4 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Rerunning OPT passes. (Maybe there is more to do..) 5.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.20. Executing OPT_DFF pass (perform DFF optimizations). 5.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 5.22. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.23. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_02.dot'. Dumping module test to page 1. Warning: WIDTHLABEL { \RD1_ADDR \RD2_ADDR } 16 Warning: WIDTHLABEL { \RD1_CLK \RD2_CLK } 2 Warning: WIDTHLABEL { \RD1_DATA \RD2_DATA } 16 Warning: WIDTHLABEL { \WR1_ADDR \WR2_ADDR } 16 Warning: WIDTHLABEL { \WR1_CLK \WR2_CLK } 2 Warning: WIDTHLABEL { \WR1_DATA \WR2_DATA } 16 Warning: WIDTHLABEL { \WR1_WEN \WR1_WEN \WR1_WEN \WR1_WEN \WR1_WEN \WR1_WEN \WR1_WEN \WR1_WEN \WR2_WEN \WR2_WEN \WR2_WEN \WR2_WEN \WR2_WEN \WR2_WEN \WR2_WEN \WR2_WEN } 16 Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' Warnings: 7 unique messages, 7 total End of script. Logfile hash: 38542be5e8, CPU: user 0.30s system 0.02s, MEM: 8.73 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 81% 1x show (1 sec), 4% 5x opt_expr (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' -- -- Executing script file `techmap_01.ys' -- 1. Executing Verilog-2005 frontend: techmap_01.v Parsing Verilog input from `techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: techmap_01_map.v Parsing Verilog input from `techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `techmap_01.dot'. Dumping module test to page 1. Warning: WIDTHLABEL \a [15:0] 16 Warning: WIDTHLABEL \b [15:0] 16 Warning: WIDTHLABEL { $add$techmap_01.v:3$1.genblk1.S1 [16] \y [15:0] } 17 Warning: WIDTHLABEL \a [31:16] 16 Warning: WIDTHLABEL \b [31:16] 16 Warning: WIDTHLABEL $add$techmap_01.v:3$1.genblk1.S1 [16] 1 Warning: WIDTHLABEL \y [31:16] 16 Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' Warnings: 7 unique messages, 7 total End of script. Logfile hash: f7cde0dc8c, CPU: user 0.07s system 0.03s, MEM: 8.61 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 96% 1x show (1 sec), 1% 1x techmap (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' -- -- Executing script file `abc_01.ys' -- 1. Executing Verilog-2005 frontend: abc_01.v Parsing Verilog input from `abc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: abc_01_cells.v Parsing Verilog input from `abc_01_cells.v' to AST representation. Generating RTLIL representation for module `\BUF'. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Generating RTLIL representation for module `\DFFSR'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \test 3.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 3 assignments to connections. 4.4. Executing PROC_INIT pass (extract init attributes). 4.5. Executing PROC_ARST pass (detect async resets in processes). 4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$abc_01.v:5$1'. 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). 4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$3' with positive edge clock. Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$5' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$abc_01.v:5$1'. Cleaned up 0 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_DFF pass (perform DFF optimizations). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_DFF pass (perform DFF optimizations). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Executing TECHMAP pass (map to technology primitives). 6.1. Executing Verilog-2005 frontend: /build/yosys-0.23/share/techmap.v Parsing Verilog input from `/build/yosys-0.23/share/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 6.2. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $reduce_xor. Using extmapper simplemap for cells of type $dff. No more expansions possible. 7. Executing ABC pass (technology mapping using ABC). 7.1. Summary of detected clock domains: 9 cells in clk=\clk, en={ }, arst={ }, srst={ } 7.2. Extracting gate netlist of module `\test' to `/input.blif'.. Found matching posedge clock domain: \clk Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs. 7.2.1. Executing ABC. Running ABC command: "berkeley-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_ExSyn/abc_01_cells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR". ABC: Library "demo" from "/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + &get -n ABC: Warning: 7 registers in this network have don't-care init values. ABC: The don't-care are assumed to be 0. The result may not verify. ABC: Use command "print_latch" to see the init values of registers. ABC: Use command "zero" to convert or "init" to change the values. ABC: + &fraig -x ABC: + &put ABC: + scorr ABC: + dc2 ABC: + dretime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 7.2.2. Re-integrating ABC results. ABC RESULTS: _dff_ cells: 3 ABC RESULTS: NOT cells: 3 ABC RESULTS: NAND cells: 2 ABC RESULTS: NOR cells: 5 ABC RESULTS: BUF cells: 3 ABC RESULTS: internal signals: 8 ABC RESULTS: input signals: 3 ABC RESULTS: output signals: 1 Removing temp directory. Removed 0 unused cells and 9 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `abc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' End of script. Logfile hash: 12acbed0ed, CPU: user 0.34s system 0.04s, MEM: 10.03 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 60% 1x show (1 sec), 23% 1x abc (0 sec), ... make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_ExSyn' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_ExSyn/abc_01.pdf PRESENTATION_ExSyn/memory_01.pdf PRESENTATION_ExSyn/memory_02.pdf PRESENTATION_ExSyn/opt_01.pdf PRESENTATION_ExSyn/opt_02.pdf PRESENTATION_ExSyn/opt_03.pdf PRESENTATION_ExSyn/opt_04.pdf PRESENTATION_ExSyn/proc_01.pdf PRESENTATION_ExSyn/proc_02.pdf PRESENTATION_ExSyn/proc_03.pdf PRESENTATION_ExSyn/techmap_01.pdf + make -C PRESENTATION_ExAdv make[3]: Entering directory '/build/yosys-0.23/manual' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. ../../yosys select.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `select.ys' -- 1. Executing Verilog-2005 frontend: select.v Parsing Verilog input from `select.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 2 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$select.v:7$1'. 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$9' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_DFF pass (perform DFF optimizations). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 7 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_DFF pass (perform DFF optimizations). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `select.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' End of script. Logfile hash: 75f2ae3a3a, CPU: user 0.19s system 0.03s, MEM: 8.73 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 87% 1x show (1 sec), 4% 4x opt_expr (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `red_or3x1_test.ys' -- 1. Executing Verilog-2005 frontend: red_or3x1_test.v Parsing Verilog input from `red_or3x1_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: red_or3x1_map.v Parsing Verilog input from `red_or3x1_map.v' to AST representation. Generating RTLIL representation for module `\$reduce_or'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$53153ae57fdb25b25475408a64760d7986ecfb0e\$reduce_or for cells of type $reduce_or. Using template $paramod$fe2472242c070f3b22e97f6c8c19c3569e575d97\$reduce_or for cells of type $reduce_or. Using template $paramod$1a3ccc6b2ad940e0a4ee2fb765a3adeb638fb7f0\$reduce_or for cells of type $reduce_or. No more expansions possible. Removed 0 unused cells and 18 unused wires. 4. Executing SPLITNETS pass (splitting up multi-bit signals). 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v Parsing Verilog input from `red_or3x1_cells.v' to AST representation. Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `red_or3x1.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' End of script. Logfile hash: 129023a082, CPU: user 0.09s system 0.03s, MEM: 8.64 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 95% 1x show (1 sec), 2% 1x techmap (0 sec), ... ../../yosys sym_mul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `sym_mul_test.ys' -- 1. Executing Verilog-2005 frontend: sym_mul_test.v Parsing Verilog input from `sym_mul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. No more expansions possible. Removed 0 unused cells and 6 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `sym_mul.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' End of script. Logfile hash: 772afb568b, CPU: user 0.06s system 0.03s, MEM: 8.35 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 96% 1x show (1 sec), 1% 4x read_verilog (0 sec), ... ../../yosys mymul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `mymul_test.ys' -- 1. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mymul_map.v Parsing Verilog input from `mymul_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod$7e708ae28ab761f11d0fb59d3ffc72f6a4baf5d9\$mul for cells of type $mul. Using template $paramod\MYMUL\WIDTH=32'00000000000000000000000000000010 for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 10 unused wires. Renaming module \test to \test_mapped. 4. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 5. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". 6. Executing FLATTEN pass (flatten design). 7. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 7 cells to SAT database. Import proof-constraint: \trigger = 1'0 Final proof equation: \trigger = 1'0 Solving problem with 107 variables and 283 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ 8. Executing SPLITNETS pass (splitting up multi-bit signals). 9. Generating Graphviz representation of design. Writing dot description to `mymul.dot'. Dumping module test_mapped to page 1. Warning: WIDTHLABEL { \B [0] 1'0 } 2 Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' Warnings: 1 unique messages, 1 total End of script. Logfile hash: 126d691da5, CPU: user 0.19s system 0.02s, MEM: 8.89 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 89% 1x show (1 sec), 2% 2x clean (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `mulshift_test.ys' -- 1. Executing Verilog-2005 frontend: mulshift_test.v Parsing Verilog input from `mulshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mulshift_map.v Parsing Verilog input from `mulshift_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod$a1bc51c02ce12ac21eb18988e83292af48ed7d72\$mul for cells of type $mul. Using template $paramod$fb3c811cfd9dc2fc74fe40190dfcd365f04584f7\MYMUL for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 16 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `mulshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' End of script. Logfile hash: e867b57f97, CPU: user 0.20s system 0.03s, MEM: 8.93 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 86% 1x show (1 sec), 4% 1x techmap (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `addshift_test.ys' -- 1. Executing Verilog-2005 frontend: addshift_test.v Parsing Verilog input from `addshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: addshift_map.v Parsing Verilog input from `addshift_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$ba28896eb640c0d0dd7116971c6c5dc347170a6c\$add for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `addshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' End of script. Logfile hash: d1e94967df, CPU: user 0.07s system 0.02s, MEM: 8.57 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 97% 1x show (1 sec), 1% 1x clean (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `macc_simple_test.ys' -- 1. Executing Verilog-2005 frontend: macc_simple_test.v Parsing Verilog input from `macc_simple_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 3. Generating Graphviz representation of design. 3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 3.2. Continuing show pass. Writing dot description to `macc_simple_test_00a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf' 4. Executing EXTRACT pass (map subcircuits to cells). 4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 4.2. Executing PROC pass (convert processes to netlists). 4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 4.2.4. Executing PROC_INIT pass (extract init attributes). 4.2.5. Executing PROC_ARST pass (detect async resets in processes). 4.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 4.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 4.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 4.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 4.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module macc_16_16_32. 4.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 4.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 4.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 4.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$8 Removed 0 unused cells and 1 unused wires. 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `macc_simple_test_00b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf' 6. Executing Verilog-2005 frontend: macc_simple_test_01.v Parsing Verilog input from `macc_simple_test_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 7. Executing HIERARCHY pass (managing design hierarchy). 7.1. Analyzing design hierarchy.. Top module: \test 7.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 8. Generating Graphviz representation of design. 8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 8.2. Continuing show pass. Writing dot description to `macc_simple_test_01a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf' 9. Executing EXTRACT pass (map subcircuits to cells). 9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 9.2. Executing PROC pass (convert processes to netlists). 9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 9.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 9.2.4. Executing PROC_INIT pass (extract init attributes). 9.2.5. Executing PROC_ARST pass (detect async resets in processes). 9.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 9.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 9.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 9.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 9.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 9.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module macc_16_16_32. 9.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 9.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 9.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 9.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$19 Removed 0 unused cells and 1 unused wires. 10. Generating Graphviz representation of design. 10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 10.2. Continuing show pass. Writing dot description to `macc_simple_test_01b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf' 11. Executing Verilog-2005 frontend: macc_simple_test_02.v Parsing Verilog input from `macc_simple_test_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 12. Executing HIERARCHY pass (managing design hierarchy). 12.1. Analyzing design hierarchy.. Top module: \test 12.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 13. Generating Graphviz representation of design. 13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 13.2. Continuing show pass. Writing dot description to `macc_simple_test_02a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf' 14. Executing EXTRACT pass (map subcircuits to cells). 14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 14.2. Executing PROC pass (convert processes to netlists). 14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 14.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 14.2.4. Executing PROC_INIT pass (extract init attributes). 14.2.5. Executing PROC_ARST pass (detect async resets in processes). 14.2.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 14.2.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 14.2.8. Executing PROC_DLATCH pass (convert process syncs to latches). 14.2.9. Executing PROC_DFF pass (convert process syncs to FFs). 14.2.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 14.2.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.12. Executing OPT_EXPR pass (perform const folding). Optimizing module macc_16_16_32. 14.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 14.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 14.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 2 matches. 14.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$30 Match #1: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$31 Removed 0 unused cells and 2 unused wires. 15. Generating Graphviz representation of design. 15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 15.2. Continuing show pass. Writing dot description to `macc_simple_test_02b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf' 16. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 17. Executing HIERARCHY pass (managing design hierarchy). 17.1. Analyzing design hierarchy.. Top module: \macc_16_16_32 17.2. Analyzing design hierarchy.. Top module: \macc_16_16_32 Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_simple_xmap.dot'. Dumping module macc_16_16_32 to page 1. Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' End of script. Logfile hash: 4903010725, CPU: user 0.35s system 0.06s, MEM: 8.53 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 96% 7x show (8 sec), 1% 7x clean (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `macc_xilinx_test.ys' -- 1. Executing Verilog-2005 frontend: macc_xilinx_test.v Parsing Verilog input from `macc_xilinx_test.v' to AST representation. Generating RTLIL representation for module `\test1'. Generating RTLIL representation for module `\test2'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `$__mul_wrapper'. Generating RTLIL representation for module `$__add_wrapper'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 4. Executing HIERARCHY pass (managing design hierarchy). Removed 0 unused cells and 2 unused wires. 5. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1a.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf' 6. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2a.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf' 7. Executing TECHMAP pass (map to technology primitives). 7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 7.2. Continuing TECHMAP pass. Using template $paramod$cb31b7e2c27e209b1e5fc8ca3c6ec22d65eb4c07\mul_swap_ports for cells of type $mul. No more expansions possible. Removed 0 unused cells and 8 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1b.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf' 9. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2b.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf' 10. Executing TECHMAP pass (map to technology primitives). 10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 10.2. Continuing TECHMAP pass. Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\mul_wrap for cells of type $mul. Using template $paramod$48197a291a9e3825142389e9d2e41385cae2467c\mul_wrap for cells of type $mul. Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\add_wrap for cells of type $add. No more expansions possible. 11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } Removed 0 unused cells and 56 unused wires. 12. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1c.dot'. Dumping module test1 to page 1. Warning: WIDTHLABEL { 6'000000 $mul$macc_xilinx_test.v:5$1_Y } 48 Warning: WIDTHLABEL { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } 48 Warning: WIDTHLABEL { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } 48 Warning: WIDTHLABEL { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } 48 Warning: WIDTHLABEL { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } 48 Warning: WIDTHLABEL { $techmap26$add$macc_xilinx_test.v:5$5.Y_48 [47:42] \y } 48 Warning: WIDTHLABEL { 2'00 \d } 18 Warning: WIDTHLABEL { 5'00000 \c } 25 Warning: WIDTHLABEL { $techmap23$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } 48 Warning: WIDTHLABEL { 2'00 \e } 18 Warning: WIDTHLABEL { 9'000000000 \f } 25 Warning: WIDTHLABEL { $techmap25$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } 48 Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf' 13. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2c.dot'. Dumping module test2 to page 1. Warning: WIDTHLABEL { 6'000000 $mul$macc_xilinx_test.v:12$6_Y } 48 Warning: WIDTHLABEL { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } 48 Warning: WIDTHLABEL { $techmap22$add$macc_xilinx_test.v:12$10.Y_48 [47:42] \y } 48 Warning: WIDTHLABEL { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } 48 Warning: WIDTHLABEL { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } 48 Warning: WIDTHLABEL { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } 48 Warning: WIDTHLABEL { 2'00 \d } 18 Warning: WIDTHLABEL { 5'00000 \c } 25 Warning: WIDTHLABEL { $techmap19$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } 48 Warning: WIDTHLABEL { 2'00 \e } 18 Warning: WIDTHLABEL { 9'000000000 \f } 25 Warning: WIDTHLABEL { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } 48 Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf' 14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 15. Executing TECHMAP pass (map to technology primitives). 15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 15.2. Continuing TECHMAP pass. No more expansions possible. 16. Executing TECHMAP pass (map to technology primitives). 16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 16.2. Continuing TECHMAP pass. Using template $paramod$81421da67e3cf07e7ac8b39f28efc75ee750e82a\mul_wrap for cells of type $mul. Using template $paramod$88aad6f8473fb7e4e5fbfb8335ddebad03429eaa\add_wrap for cells of type $add. No more expansions possible. Removed 0 unused cells and 17 unused wires. 17. Executing EXTRACT pass (map subcircuits to cells). 17.1. Creating graphs for SubCircuit library. Creating needle graph needle_DSP48_MACC. Creating haystack graph haystack_$__add_wrapper. Creating haystack graph haystack_$__mul_wrapper. Creating haystack graph haystack_DSP48_MACC. Creating haystack graph haystack_test1. Creating haystack graph haystack_test2. 17.2. Running solver from SubCircuit library. Solving for needle_DSP48_MACC in haystack_$__add_wrapper. Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. Solving for needle_DSP48_MACC in haystack_DSP48_MACC. Solving for needle_DSP48_MACC in haystack_test1. Solving for needle_DSP48_MACC in haystack_test2. Found 3 matches. 17.3. Substitute SubCircuits with cells. Match #0: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$35 Match #1: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$36 Match #2: (needle_DSP48_MACC in haystack_test2) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$37 Removed 0 unused cells and 6 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1d.dot'. Dumping module test1 to page 1. Warning: WIDTHLABEL { 2'00 \d } 18 Warning: WIDTHLABEL { 5'00000 \c } 25 Warning: WIDTHLABEL { 6'000000 $mul$macc_xilinx_test.v:5$1_Y } 48 Warning: WIDTHLABEL { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } 48 Warning: WIDTHLABEL { 2'00 \e } 18 Warning: WIDTHLABEL { 9'000000000 \f } 25 Warning: WIDTHLABEL { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } 48 Warning: WIDTHLABEL { $techmap26$add$macc_xilinx_test.v:5$5.Y_48 [47:42] \y } 48 Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf' 19. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2d.dot'. Dumping module test2 to page 1. Warning: WIDTHLABEL { 6'000000 $mul$macc_xilinx_test.v:12$6_Y } 48 Warning: WIDTHLABEL { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } 48 Warning: WIDTHLABEL { $techmap22$add$macc_xilinx_test.v:12$10.Y_48 [47:42] \y } 48 Warning: WIDTHLABEL { 2'00 \d } 18 Warning: WIDTHLABEL { 5'00000 \c } 25 Warning: WIDTHLABEL { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } 48 Warning: WIDTHLABEL { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } 48 Warning: WIDTHLABEL { 2'00 \e } 18 Warning: WIDTHLABEL { 9'000000000 \f } 25 Warning: WIDTHLABEL { $techmap17$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } 48 Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf' 20. Executing TECHMAP pass (map to technology primitives). 20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `\$__mul_wrapper'. Generating RTLIL representation for module `\$__add_wrapper'. Successfully finished Verilog frontend. 20.2. Continuing TECHMAP pass. Using template $paramod$7714b1debbef4f2cb52c6ca29c9bc451325cf285\$__mul_wrapper for cells of type $__mul_wrapper. Using template $paramod$7ad0a2715cbe7438acc372ec84186a7c022b6ee1\$__add_wrapper for cells of type $__add_wrapper. No more expansions possible. Removed 0 unused cells and 14 unused wires. 21. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1e.dot'. Dumping module test1 to page 1. Warning: WIDTHLABEL { 2'00 \d } 18 Warning: WIDTHLABEL { 5'00000 \c } 25 Warning: WIDTHLABEL { 6'000000 $mul$macc_xilinx_test.v:5$1_Y } 48 Warning: WIDTHLABEL { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } 48 Warning: WIDTHLABEL { 2'00 \e } 18 Warning: WIDTHLABEL { 9'000000000 \f } 25 Warning: WIDTHLABEL { $techmap24$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } 48 Warning: WIDTHLABEL { $techmap26$add$macc_xilinx_test.v:5$5.Y_48 [47:42] \y } 48 Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf' 22. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2e.dot'. Dumping module test2 to page 1. Warning: WIDTHLABEL { 2'00 \d } 18 Warning: WIDTHLABEL { 5'00000 \c } 25 Warning: WIDTHLABEL { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } 48 Warning: WIDTHLABEL { $techmap21$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } 48 Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf' 23. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_xmap.dot'. Dumping module DSP48_MACC to page 1. Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' Warnings: 15 unique messages, 54 total End of script. Logfile hash: 1adef8c574, CPU: user 0.95s system 0.06s, MEM: 9.53 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 93% 11x show (12 sec), 2% 12x clean (0 sec), ... make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_ExAdv' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_ExAdv/addshift.pdf PRESENTATION_ExAdv/macc_simple_test_00a.pdf PRESENTATION_ExAdv/macc_simple_test_00b.pdf PRESENTATION_ExAdv/macc_simple_test_01a.pdf PRESENTATION_ExAdv/macc_simple_test_01b.pdf PRESENTATION_ExAdv/macc_simple_test_02a.pdf PRESENTATION_ExAdv/macc_simple_test_02b.pdf PRESENTATION_ExAdv/macc_simple_xmap.pdf PRESENTATION_ExAdv/macc_xilinx_test1a.pdf PRESENTATION_ExAdv/macc_xilinx_test1b.pdf PRESENTATION_ExAdv/macc_xilinx_test1c.pdf PRESENTATION_ExAdv/macc_xilinx_test1d.pdf PRESENTATION_ExAdv/macc_xilinx_test1e.pdf PRESENTATION_ExAdv/macc_xilinx_test2a.pdf PRESENTATION_ExAdv/macc_xilinx_test2b.pdf PRESENTATION_ExAdv/macc_xilinx_test2c.pdf PRESENTATION_ExAdv/macc_xilinx_test2d.pdf PRESENTATION_ExAdv/macc_xilinx_test2e.pdf PRESENTATION_ExAdv/macc_xilinx_xmap.pdf PRESENTATION_ExAdv/mulshift.pdf PRESENTATION_ExAdv/mymul.pdf PRESENTATION_ExAdv/red_or3x1.pdf PRESENTATION_ExAdv/select.pdf PRESENTATION_ExAdv/sym_mul.pdf + make -C PRESENTATION_ExOth make[3]: Entering directory '/build/yosys-0.23/manual' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. ../../yosys scrambler.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v Parsing Verilog input from `scrambler.v' to AST representation. Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. 3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 2 assignments to connections. 3.4. Executing PROC_INIT pass (extract init attributes). 3.5. Executing PROC_ARST pass (detect async resets in processes). 3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/1: $1\xs[31:0] 3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$13' with positive edge clock. 3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. 3.12. Executing OPT_EXPR pass (perform const folding). Optimizing module scrambler. Removed 0 unused cells and 5 unused wires. 4. Executing SUBMOD pass (moving cells to submodules as requested). 4.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \scrambler.. 4.2. Continuing SUBMOD pass. Creating submodule xorshift32 (\xorshift32) of module \scrambler. signal $xor$scrambler.v:9$3_Y: internal signal $shr$scrambler.v:10$4_Y: internal signal $1\xs[31:0]: input \n1 signal $0\xs[31:0]: output \n2 signal $xor$scrambler.v:10$5_Y: internal signal $shl$scrambler.v:11$6_Y: internal cell $xor$scrambler.v:9$3 ($xor) cell $xor$scrambler.v:11$7 ($xor) cell $xor$scrambler.v:10$5 ($xor) 5. Generating Graphviz representation of design. Writing dot description to `scrambler_p01.dot'. Dumping module scrambler to page 1. Warning: WIDTHLABEL $0\xs[31:0] [0] 1 Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf' 6. Generating Graphviz representation of design. Writing dot description to `scrambler_p02.dot'. Dumping module xorshift32 to page 1. Warning: WIDTHLABEL { $shr$scrambler.v:10$4_Y [14:0] $xor$scrambler.v:9$3_Y [16:0] } 32 Warning: WIDTHLABEL { 17'00000000000000000 $shr$scrambler.v:10$4_Y [14:0] } 32 Warning: WIDTHLABEL { $xor$scrambler.v:10$5_Y [31:27] $shl$scrambler.v:11$6_Y [31:5] } 32 Warning: WIDTHLABEL { $xor$scrambler.v:10$5_Y [31:27] $shl$scrambler.v:11$6_Y [31:5] } 32 Warning: WIDTHLABEL { $shl$scrambler.v:11$6_Y [31:5] 5'00000 } 32 Warning: WIDTHLABEL { \n1 [18:0] 13'0000000000000 } 32 Warning: WIDTHLABEL { $shr$scrambler.v:10$4_Y [14:0] $xor$scrambler.v:9$3_Y [16:0] } 32 Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf' echo on yosys> cd xorshift32 yosys [xorshift32]> rename n2 in Renaming wire n2 to in in module xorshift32. yosys [xorshift32]> rename n1 out Renaming wire n1 to out in module xorshift32. yosys [xorshift32]> eval -set in 1 -show out 7. Executing EVAL pass (evaluate the circuit given an input). Failed to evaluate signal \out: Missing value for \out. yosys [xorshift32]> eval -set in 270369 -show out 8. Executing EVAL pass (evaluate the circuit given an input). Failed to evaluate signal \out: Missing value for \out. yosys [xorshift32]> sat -set out 632435482 9. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Import set-constraint: \out = 632435482 Final constraint equation: \out = 632435482 Imported 3 cells to SAT database. Solving problem with 665 variables and 1735 clauses.. SAT solving finished - model found: Signal Name Dec Hex Bin --------------- ----------- --------- ----------------------------------- \out 632435482 25b2331a 00100101101100100011001100011010 Warnings: 6 unique messages, 8 total End of script. Logfile hash: 2a009ab0aa, CPU: user 0.21s system 0.03s, MEM: 9.07 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 93% 2x show (2 sec), 1% 1x submod (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `equiv.ys' -- 1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Renaming module \test to \test_mapped. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\$add for cells of type $add. No more expansions possible. 4. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped". 5. Executing FLATTEN pass (flatten design). 6. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 7 cells to SAT database. Import proof for assert: $auto$miter.cc:229:create_miter_equiv$6 when 1'1. Import show expression: \in_b Import show expression: \in_a Import show expression: \trigger Import show expression: \gate_y Import show expression: \gold_y Solving problem with 945 variables and 2505 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ End of script. Logfile hash: 40f4b7a027, CPU: user 0.17s system 0.02s, MEM: 9.27 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 74% 1x sat (0 sec), 15% 1x techmap (0 sec), ... mv equiv.log_new equiv.log ../../yosys -l axis_test.log_new axis_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2020 Claire Xenia Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.23 (git sha1 7ce5011c24b) -- Executing script file `axis_test.ys' -- 1. Executing Verilog-2005 frontend: axis_master.v Parsing SystemVerilog input from `axis_master.v' to AST representation. Generating RTLIL representation for module `\axis_master'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: axis_test.v Parsing SystemVerilog input from `axis_test.v' to AST representation. Generating RTLIL representation for module `\axis_test'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master 3.2. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master Removed 0 unused modules. Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute. Mapping positional arguments of cell axis_test.uut (axis_master). 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master. Removed a total of 0 dead cases. 4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 14 assignments to connections. 4.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\axis_test.$proc$axis_test.v:0$98'. Set init value: $formal$axis_test.v:22$23_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$96'. Set init value: $formal$axis_test.v:21$22_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$94'. Set init value: $formal$axis_test.v:20$21_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$92'. Set init value: $formal$axis_test.v:19$20_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$90'. Set init value: $formal$axis_test.v:18$19_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$88'. Set init value: $formal$axis_test.v:17$18_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$86'. Set init value: $formal$axis_test.v:16$17_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$84'. Set init value: $formal$axis_test.v:15$16_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$82'. Set init value: $formal$axis_test.v:14$15_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:0$80'. Set init value: $formal$axis_test.v:13$14_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:7$79'. Set init value: \aresetn = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:6$78'. Set init value: \counter = 0 4.5. Executing PROC_ARST pass (detect async resets in processes). 4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\axis_test.$proc$axis_test.v:0$98'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$96'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$94'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$92'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$90'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$88'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$86'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$84'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$82'. Creating decoders for process `\axis_test.$proc$axis_test.v:0$80'. Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'. Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'. Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'. 1/21: $0$formal$axis_test.v:13$14_EN[0:0]$26 2/21: $0$formal$axis_test.v:13$14_CHECK[0:0]$25 3/21: $0$formal$axis_test.v:14$15_EN[0:0]$28 4/21: $0$formal$axis_test.v:14$15_CHECK[0:0]$27 5/21: $0$formal$axis_test.v:15$16_EN[0:0]$30 6/21: $0$formal$axis_test.v:15$16_CHECK[0:0]$29 7/21: $0$formal$axis_test.v:16$17_EN[0:0]$32 8/21: $0$formal$axis_test.v:16$17_CHECK[0:0]$31 9/21: $0$formal$axis_test.v:17$18_EN[0:0]$34 10/21: $0$formal$axis_test.v:17$18_CHECK[0:0]$33 11/21: $0$formal$axis_test.v:18$19_EN[0:0]$36 12/21: $0$formal$axis_test.v:18$19_CHECK[0:0]$35 13/21: $0$formal$axis_test.v:19$20_EN[0:0]$38 14/21: $0$formal$axis_test.v:19$20_CHECK[0:0]$37 15/21: $0$formal$axis_test.v:20$21_EN[0:0]$40 16/21: $0$formal$axis_test.v:20$21_CHECK[0:0]$39 17/21: $0$formal$axis_test.v:21$22_EN[0:0]$42 18/21: $0$formal$axis_test.v:21$22_CHECK[0:0]$41 19/21: $0$formal$axis_test.v:22$23_EN[0:0]$44 20/21: $0$formal$axis_test.v:22$23_CHECK[0:0]$43 21/21: $0\counter[31:0] Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'. 1/4: $2\state[31:0] 2/4: $1\state[31:0] 3/4: $0\tdata[7:0] 4/4: $0\tvalid[0:0] 4.8. Executing PROC_DLATCH pass (convert process syncs to latches). 4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$209' with positive edge clock. Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$210' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$211' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$212' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$213' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$214' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$215' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$216' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$217' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$218' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$219' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$220' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$221' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$222' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$223' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$224' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$225' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$226' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$227' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$228' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$229' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$230' with positive edge clock. Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$231' with positive edge clock. Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$232' with positive edge clock. Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$233' with positive edge clock. 4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `axis_test.$proc$axis_test.v:0$98'. Removing empty process `axis_test.$proc$axis_test.v:0$96'. Removing empty process `axis_test.$proc$axis_test.v:0$94'. Removing empty process `axis_test.$proc$axis_test.v:0$92'. Removing empty process `axis_test.$proc$axis_test.v:0$90'. Removing empty process `axis_test.$proc$axis_test.v:0$88'. Removing empty process `axis_test.$proc$axis_test.v:0$86'. Removing empty process `axis_test.$proc$axis_test.v:0$84'. Removing empty process `axis_test.$proc$axis_test.v:0$82'. Removing empty process `axis_test.$proc$axis_test.v:0$80'. Removing empty process `axis_test.$proc$axis_test.v:7$79'. Removing empty process `axis_test.$proc$axis_test.v:6$78'. Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'. Removing empty process `axis_test.$proc$axis_test.v:11$24'. Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'. Removing empty process `axis_master.$proc$axis_master.v:7$1'. Cleaned up 15 empty switches. 4.12. Executing OPT_EXPR pass (perform const folding). Optimizing module axis_test. Optimizing module axis_master. 5. Executing FLATTEN pass (flatten design). Deleting now unused module axis_master. Removed 1 unused cells and 92 unused wires. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up time step 1: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0 Import set-constraint from init attribute: \aresetn = 1'0 Import set-constraint from init attribute: \counter = 0 Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000 Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 2: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 3: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 4: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 5: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 6: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 7: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 8: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 9: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 10: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 11: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 12: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 13: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 14: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 15: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 16: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 17: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 18: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 19: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 20: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 21: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 22: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 23: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 24: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 25: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 26: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 27: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 28: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 29: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 30: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 31: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 32: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 33: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 34: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 35: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 36: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 37: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 38: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 39: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 40: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 41: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 42: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 43: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 44: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 45: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 46: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 47: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 48: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 49: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 50: Final constraint equation: { } = { } Imported 117 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Solving problem with 135995 variables and 381779 clauses.. SAT proof finished - model found: FAIL! ______ ___ ___ _ _ _ _ (_____ \ / __) / __) (_) | | | | _____) )___ ___ ___ _| |__ _| |__ _____ _| | _____ __| | | | ____/ ___) _ \ / _ (_ __) (_ __|____ | | || ___ |/ _ |_| | | | | | |_| | |_| || | | | / ___ | | || ____( (_| |_ |_| |_| \___/ \___/ |_| |_| \_____|_|\_)_____)\____|_| Time Signal Name Dec Hex Bin ---- ------------------------------------ ----------- --------- ----------------------------------- init $formal$axis_test.v:13$14_CHECK 0 0 0 init $formal$axis_test.v:13$14_EN 0 0 0 init $formal$axis_test.v:14$15_CHECK 0 0 0 init $formal$axis_test.v:14$15_EN 0 0 0 init $formal$axis_test.v:15$16_CHECK 0 0 0 init $formal$axis_test.v:15$16_EN 0 0 0 init $formal$axis_test.v:16$17_CHECK 0 0 0 init $formal$axis_test.v:16$17_EN 0 0 0 init $formal$axis_test.v:17$18_CHECK 0 0 0 init $formal$axis_test.v:17$18_EN 0 0 0 init $formal$axis_test.v:18$19_CHECK 0 0 0 init $formal$axis_test.v:18$19_EN 0 0 0 init $formal$axis_test.v:19$20_CHECK 0 0 0 init $formal$axis_test.v:19$20_EN 0 0 0 init $formal$axis_test.v:20$21_CHECK 0 0 0 init $formal$axis_test.v:20$21_EN 0 0 0 init $formal$axis_test.v:21$22_CHECK 0 0 0 init $formal$axis_test.v:21$22_EN 0 0 0 init $formal$axis_test.v:22$23_CHECK 0 0 0 init $formal$axis_test.v:22$23_EN 0 0 0 init \aresetn 0 0 0 init \counter 0 0 00000000000000000000000000000000 init \uut.state 0 0 00000000000000000000000000000000 init \uut.tdata 64 40 01000000 init \uut.tvalid 1 1 1 End of script. Logfile hash: 47aa44b032, CPU: user 12.29s system 0.85s, MEM: 84.57 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 98% 1x sat (12 sec), 0% 3x read_verilog (0 sec), ... mv axis_test.log_new axis_test.log make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_ExOth' + sed -i 's#/CreationDate (D:[^)]\+)#/CreationDate (D:20221203221520Z)#' PRESENTATION_ExOth/scrambler_p01.pdf PRESENTATION_ExOth/scrambler_p02.pdf + make -C PRESENTATION_Prog make[3]: Entering directory '/build/yosys-0.23/manual' make[3]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. ../../yosys-config --exec --cxx -g -O2 -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wextra -ggdb -I../../share/include -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -I/usr/include/tcl8.6 -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs ../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v -- Parsing `absval_ref.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Storing AST representation for module `$abstract\absval_ref'. Successfully finished Verilog frontend. -- Running command `my_cmd foo bar' -- Arguments to my_cmd: my_cmd foo bar Modules in current design: $abstract\absval_ref (0 wires, 0 cells) End of script. Logfile hash: a25069ff9d, CPU: user 0.03s system 0.03s, MEM: 8.85 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 95% 2x read_verilog (0 sec), 2% 1x my_cmd (0 sec), ... mv test0.log_new test0.log ../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v -- Parsing `absval_ref.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Storing AST representation for module `$abstract\absval_ref'. Successfully finished Verilog frontend. -- Running command `clean; test1; dump' -- Name of this module: absval autoidx 4 module \absval wire width 4 $auto$my_cmd.cc:41:execute$1 wire width 4 output 2 \y wire width 4 input 1 \a cell $mux $auto$my_cmd.cc:43:execute$3 parameter \WIDTH 4 connect \Y \y connect \S \a [3] connect \B $auto$my_cmd.cc:41:execute$1 connect \A \a end cell $neg $auto$my_cmd.cc:42:execute$2 parameter \Y_WIDTH 4 parameter \A_WIDTH 4 parameter \A_SIGNED 1 connect \Y $auto$my_cmd.cc:41:execute$1 connect \A \a end end attribute \cells_not_processed 1 attribute \src "absval_ref.v:1.1-3.10" module $abstract\absval_ref end End of script. Logfile hash: 01cda1039c, CPU: user 0.03s system 0.03s, MEM: 8.87 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 75% 1x clean (0 sec), 16% 2x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'hierarchy -top test; test2' sigmap_test.v -- Parsing `sigmap_test.v' using frontend ` -vlog2k' -- 1. Executing Verilog-2005 frontend: sigmap_test.v Parsing Verilog input from `sigmap_test.v' to AST representation. Storing AST representation for module `$abstract\test'. Successfully finished Verilog frontend. -- Running command `hierarchy -top test; test2' -- 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing AST frontend in derive mode using pre-parsed AST for module `\test'. Generating RTLIL representation for module `\test'. 3.1. Analyzing design hierarchy.. Top module: \test 3.2. Analyzing design hierarchy.. Top module: \test Removing unused module `$abstract\test'. Removed 1 unused modules. 0 0 0 1 1 1 Mapped signal x: \a 4. Doing important stuff! Log message #0. Log message #1. Log message #2. Log message #3. Log message #4. Log message #5. Log message #6. Log message #7. Log message #8. Log message #9. End of script. Logfile hash: 8350de3c5a, CPU: user 0.04s system 0.02s, MEM: 8.87 MB peak Yosys 0.23 (git sha1 7ce5011c24b) Time spent: 47% 2x read_verilog (0 sec), 39% 1x hierarchy (0 sec), ... mv test2.log_new test2.log make[3]: Leaving directory '/build/yosys-0.23/manual/PRESENTATION_Prog' + set -ex + echo D:20221203221520Z D:20221203221520Z + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{presentation.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./presentation.tex (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2022/09/13 v3.68 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty))))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) (/usr/share/texmf/tex/latex/lm/t1lmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file presentation.aux. *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) No file presentation.nav. Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100 [][] \T1/lmss/m/n/6 ([]) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [2] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [3] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [4] (./PRESENTATION_Intro.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [5] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [6] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [7] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [8] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [9] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [10] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [11] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [12] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [13] (/usr/share/texmf/tex/latex/lm/ot1lmss.fd) (/usr/share/texmf/tex/latex/lm/omllmm.fd) (/usr/share/texmf/tex/latex/lm/omslmsy.fd) (/usr/share/texmf/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [14] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [15] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [16] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [17] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [18] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [19] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [20] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [21] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [22] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [23] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [24] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [25] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [26] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [27] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [28] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [29] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [30] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd))) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib) (./PRESENTATION_Intro/mycells.lib consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [33] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [38] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [39] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [40] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [41] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [42] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [43] (./presentation.vrb) Overfull \vbox (1.47926pt too high) detected at line 641 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [44] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [45] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [46] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [47] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [48] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [49] (/usr/share/texmf/tex/latex/lm/t1lmr.fd) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [50] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [51] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [52] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [53] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [54] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [55] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [56] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [57] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [58] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [59] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [60]) (./PRESENTATION_ExSyn.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [61] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [62] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [63] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [64] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [69] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [74] (./presentation.vrb) Overfull \vbox (2.12932pt too high) detected at line 268 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [75] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (4.767pt too high) detected at line 290 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [78] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [79] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [81] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v) (./PRESENTATION_ExSyn/abc_01.ys) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [84] (./presentation.vrb Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [85] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [86]) (./PRESENTATION_ExAdv.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [87] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [88] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [89] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [90] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [91] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [92] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [93] (./presentation.vrb Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [94] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [95] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [96] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v) (./PRESENTATION_ExAdv/select.ys) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [98 <./PRESENTATION_ExAdv/select.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [99] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [100] (./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v consecutive: ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [101] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/red_or3x1_test.ys consecutive: ) Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [102 <./PRESENTATION_ExAdv/red_or3x1.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [103] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v ) (./PRESENTATION_ExAdv/sym_mul_test.ys) Overfull \vbox (2.16698pt too high) detected at line 321 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [105] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys) (./PRESENTATION_ExAdv/mymul_test.ys consecutive: ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [106 <./PRESENTATION_ExAdv/mymul.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [107] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [108 <./PRESENTATION_ExAdv/mulshift.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [109] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [110 <./PRESENTATION_ExAdv/addshift.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [111] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [112] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [113] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [114] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc _simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v) Underfull \hbox (badness 10000) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v) Underfull \hbox (badness 10000) detected at line 4 []| libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc _simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: )) Overfull \vbox (1.21974pt too high) detected at line 610 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: ) Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: ) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v) Underfull \hbox (badness 10000) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v consecutive: ) Underfull \hbox (badness 10000) detected at line 5 []| Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12 [][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x ilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x ilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 [][][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 [][][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [131] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [132] (./presentation.vrb Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [133] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [134]) (./PRESENTATION_ExOth.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [135] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [136] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [137] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \vbox (12.54794pt too high) detected at line 65 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [140] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [141] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [143] (./presentation.vrb) Overfull \vbox (2.61974pt too high) detected at line 159 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v) (./PRESENTATION_ExOth/axis_test.v)) Overfull \vbox (5.41972pt too high) detected at line 177 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [145] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [146] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [147]) (./PRESENTATION_Prog.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [148] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [149] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [150] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [151] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [152] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [153] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [155] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [156] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [157] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [158] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [159] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [160] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [161] (./presentation.vrb) Overfull \vbox (2.21974pt too high) detected at line 399 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [162] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [163] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [164] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [165] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [166] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [167] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [168] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux ) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) Package rerunfilecheck Warning: File `presentation.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/lm/lm-ec.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}{/usr/sh are/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}{/usr/share/texli ve/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc} Output written on presentation.pdf (169 pages, 886281 bytes). Transcript written on presentation.log. + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new cmp: EOF on autoloop.old after byte 203, line 3 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{presentation.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./presentation.tex (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2022/09/13 v3.68 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlfile-hook.sty (/usr/share/texlive/texmf-dist/tex/latex/koma-script/scrlogo.sty))))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex)))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) (/usr/share/texmf/tex/latex/lm/t1lmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux) ) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (./presentation.out) (./presentation.out) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (./presentation.nav) Overfull \hbox (5.77223pt too wide) in paragraph at lines 100--100 [][] \T1/lmss/m/n/6 ([]) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [2] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [3] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [4] (./PRESENTATION_Intro.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [5] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [6] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [7] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [8] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [9] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [10] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [11] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [12] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [13] (/usr/share/texmf/tex/latex/lm/ot1lmss.fd) (/usr/share/texmf/tex/latex/lm/omllmm.fd) (/usr/share/texmf/tex/latex/lm/omslmsy.fd) (/usr/share/texmf/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [14] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [15] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [16] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [17] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [18] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [19] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [20] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [21] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [22] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [23] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [24] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [25] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [26] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [27] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [28] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [29] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [30] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd))) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib) (./PRESENTATION_Intro/mycells.lib consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [33] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (12.91035pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [38] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [39] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [40] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [41] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [42] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [43] (./presentation.vrb) Overfull \vbox (1.47926pt too high) detected at line 641 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [44] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [45] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [46] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [47] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [48] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [49] (/usr/share/texmf/tex/latex/lm/t1lmr.fd) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [50] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [51] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [52] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [53] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [54] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [55] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [56] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [57] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [58] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [59] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [60]) (./PRESENTATION_ExSyn.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [61] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [62] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [63] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [64] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (15.17795pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/proc_02.v) (./PRESENTATION_ExSyn/proc_02.ys)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [69] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (3.65782pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [74] (./presentation.vrb) Overfull \vbox (2.12932pt too high) detected at line 268 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [75] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/memory_02.v) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (4.767pt too high) detected at line 290 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [78] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [79] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [81] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v) (./PRESENTATION_ExSyn/abc_01.ys) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [84] (./presentation.vrb Overfull \hbox (12.91054pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (30.19075pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.95082pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (44.59093pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [85] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [86]) (./PRESENTATION_ExAdv.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [87] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [88] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [89] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [90] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [91] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [92] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [93] (./presentation.vrb Overfull \hbox (2.03467pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [94] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [95] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [96] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v) (./PRESENTATION_ExAdv/select.ys) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [98 <./PRESENTATION_ExAdv/select.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [99] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [100] (./PRESENTATION_ExAdv/red_or3x1_map.v) (./PRESENTATION_ExAdv/red_or3x1_map.v consecutive: ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [101] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/red_or3x1_test.ys consecutive: ) Overfull \hbox (1.39024pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [102 <./PRESENTATION_ExAdv/red_or3x1.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [103] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v ) (./PRESENTATION_ExAdv/sym_mul_test.ys) Overfull \vbox (2.16698pt too high) detected at line 321 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [105] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (9.76546pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v) (./PRESENTATION_ExAdv/mymul_test.ys) (./PRESENTATION_ExAdv/mymul_test.ys consecutive: ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [106 <./PRESENTATION_ExAdv/mymul.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [107] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (34.2463pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.2463pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v) (./PRESENTATION_ExAdv/mulshift_test.ys ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [108 <./PRESENTATION_ExAdv/mulshift.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [109] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (51.04654pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (54.40659pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [110 <./PRESENTATION_ExAdv/addshift.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [111] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [112] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [113] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [114] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/macc _simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v) Underfull \hbox (badness 10000) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v) Underfull \hbox (badness 10000) detected at line 4 []| libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/macc _simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (2.21822pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: )) Overfull \vbox (1.21974pt too high) detected at line 610 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: ) Overfull \hbox (2.21822pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: ) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v consecutive: )) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v) Underfull \hbox (badness 10000) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v consecutive: ) Underfull \hbox (badness 10000) detected at line 5 []| Overfull \hbox (84.2987pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (26.69807pt too wide) in paragraph at lines 11--12 [][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc_x ilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (99.65887pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc_x ilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 [][][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (7.49786pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (7.49786pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (11.3379pt too wide) in paragraph at lines 13--14 [][][][][][][][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [131] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [132] (./presentation.vrb Overfull \hbox (52.00592pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [133] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [134]) (./PRESENTATION_ExOth.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [135] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [136] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [137] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v) libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... libxpdf: Syntax Error: Couldn't read xref table libxpdf: Syntax Warning: PDF file is damaged - attempting to reconstruct xref table... ) Overfull \vbox (12.54794pt too high) detected at line 65 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [140] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [141] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [143] (./presentation.vrb) Overfull \vbox (2.61974pt too high) detected at line 159 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v) (./PRESENTATION_ExOth/axis_test.v)) Overfull \vbox (5.41972pt too high) detected at line 177 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [145] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [146] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [147]) (./PRESENTATION_Prog.tex Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [148] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [149] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [150] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [151] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [152] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [153] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [155] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [156] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [157] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [158] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [159] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [160] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [161] (./presentation.vrb) Overfull \vbox (2.21974pt too high) detected at line 399 Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [162] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [163] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [164] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [165] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [166] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [167] (./presentation.vrb) Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [168] Overfull \hbox (5.77223pt too wide) has occurred while \output is active [][] \T1/lmss/m/n/6 ([]) [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux ) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/lm/lm-ec.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc}{/usr/sh are/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}{/usr/share/texli ve/texmf-dist/fonts/enc/dvips/inconsolata/i4-t1-0.enc} Output written on presentation.pdf (169 pages, 893144 bytes). Transcript written on presentation.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new + grep -av '^/ID \[\(<[0-9A-F]\{32\}>\) \1]$' presentation.pdf + mv -f presentation.pdf.without_pdf_id presentation.pdf cd manual && PDF_DATE=D:20221203221520Z bash manual.sh md5sum: '*.bbl': No such file or directory md5sum: '*.blg': No such file or directory + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{manual.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./manual.tex (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/mdwtools/syntax.sty) No file weblink.aux. Writing index file manual.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) No file manual.aux. (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape-nometadata.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty))) [1{/var/lib/tex mf/fonts/map/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 171. [2] (/usr/share/texmf/tex/latex/lm/ot1lmr.fd) (/usr/share/texmf/tex/latex/lm/omllmm.fd) (/usr/share/texmf/tex/latex/lm/omslmsy.fd) (/usr/share/texmf/tex/latex/lm/omxlmex.fd) [3] No file manual.toc. [4] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 5 undefined on input lin e 17. Package natbib Warning: Citation `VerilogSynth' on page 5 undefined on input li ne 17. Package natbib Warning: Citation `VHDL' on page 5 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 5 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 5 undefined on input line 27. Package natbib Warning: Citation `LogicSynthesis' on page 5 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 5 undefined on input line 43. LaTeX Warning: Reference `chapter:sota' on page 5 undefined on input line 52. [5] LaTeX Warning: Reference `chapter:intro' on page 6 undefined on input line 61. LaTeX Warning: Reference `chapter:basics' on page 6 undefined on input line 63. LaTeX Warning: Reference `chapter:approach' on page 6 undefined on input line 6 6. LaTeX Warning: Reference `chapter:overview' on page 6 undefined on input line 7 0. LaTeX Warning: Reference `chapter:celllib' on page 6 undefined on input line 75 . LaTeX Warning: Reference `chapter:prog' on page 6 undefined on input line 79. LaTeX Warning: Reference `chapter:verilog' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:opt' on page 6 undefined on input line 84. LaTeX Warning: Reference `chapter:techmap' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:eval' on page 6 undefined on input line 89. LaTeX Warning: Reference `commandref' on page 6 undefined on input line 95. LaTeX Warning: Reference `chapter:sota' on page 6 undefined on input line 96. ) [6] (./CHAPTER_Basics.tex Chapter 2. LaTeX Warning: Reference `fig:Basics_abstractions' on page 7 undefined on input line 18. Package natbib Warning: Citation `ABEL' on page 7 undefined on input line 68. [7] Package natbib Warning: Citation `IP-XACT' on page 8 undefined on input line 85 . Package natbib Warning: Citation `C_to_Verilog' on page 8 undefined on input li ne 101. Package natbib Warning: Citation `LegUp' on page 8 undefined on input line 101. (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 8 undefined on input line 139. [8] LaTeX Font Warning: Font shape `T1/zi4/m/it' undefined (Font) using `T1/zi4/m/n' instead on input line 148. Package natbib Warning: Citation `MultiLevelLogicSynth' on page 9 undefined on input line 199. [9] Package natbib Warning: Citation `ABC' on page 10 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 10 undefined on input line 206 . Package natbib Warning: Citation `MVSIS' on page 10 undefined on input line 206 . Package natbib Warning: Citation `ABC' on page 10 undefined on input line 209. Package natbib Warning: Citation `Verilog2005' on page 10 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 10 undefined on input l ine 245. (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [10] [11] Package natbib Warning: Citation `Cummings00' on page 12 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 12 undefined on inp ut line 363. [12] LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 13 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 13 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 391. [13] LaTeX Warning: Reference `fig:Basics_flow' on page 14 undefined on input line 5 02. [14] Package natbib Warning: Citation `Dragonbook' on page 15 undefined on input lin e 585. LaTeX Warning: Reference `tab:Basics_tokens' on page 15 undefined on input line 590. Package natbib Warning: Citation `flex' on page 15 undefined on input line 609. Package natbib Warning: Citation `bison' on page 15 undefined on input line 623 . [15] LaTeX Warning: Reference `fig:Basics_parsetree' on page 16 undefined on input l ine 669. LaTeX Warning: Reference `fig:Basics_ast' on page 16 undefined on input line 67 9. LaTeX Warning: Reference `fig:Basics_parsetree' on page 16 undefined on input l ine 680. Package natbib Warning: Citation `Dragonbook' on page 16 undefined on input lin e 683. [16]) [17] (./CHAPTER_Approach.tex Chapter 3. LaTeX Warning: Reference `fig:approach_flow' on page 18 undefined on input line 13. [18] Package natbib Warning: Citation `LibertyFormat' on page 19 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) [19] LaTeX Warning: Reference `commandref' on page 20 undefined on input line 144. ) [20] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 21 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 21 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 21 undefined on input line 14. Package natbib Warning: Citation `k68' on page 21 undefined on input line 14. LaTeX Warning: Reference `fig:Overview_flow' on page 21 undefined on input line 26. [21] LaTeX Warning: Reference `fig:Overview_RTLIL' on page 22 undefined on input lin e 102. [22] [23] LaTeX Warning: Reference `fig:Overview_RTLIL' on page 24 undefined on input lin e 210. [24] (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) Overfull \hbox (20.05457pt too wide) in paragraph at lines 292--294 []\T1/lmr/m/n/10 The RTLIL::SigSpec data type is used to rep-re-sent sig-nals. The RTLIL::Cell ob-ject con-tains one RTLIL::SigSpec [25] Overfull \hbox (8.67525pt too wide) in paragraph at lines 350--352 []\T1/lmr/m/n/10 An RTLIL::Process is a con-tainer for zero or more RTLIL::Sync Rule ob-jects and ex-actly one RTLIL::CaseRule Overfull \hbox (1.83148pt too wide) in paragraph at lines 358--361 \T1/lmr/m/n/10 RTLIL::SwitchRule ob-jects. An RTLIL::SwitchRule ob-jects is a c on-tainer for zero or more RTLIL::CaseRule [26] [27] LaTeX Warning: Reference `sec:memcells' on page 28 undefined on input line 479. LaTeX Warning: Reference `sec:typusecase' on page 28 undefined on input line 48 7. [28] [29]) [30] (./CHAPTER_CellLib.tex Chapter 5. LaTeX Warning: Reference `tab:CellLib_unary' on page 31 undefined on input line 45. [31] LaTeX Warning: Reference `tab:CellLib_binary' on page 32 undefined on input lin e 103. LaTeX Warning: Reference `tab:CellLib_divmod' on page 32 undefined on input lin e 170. [32] [33] [34] [35] [36] [37] [38] [39] LaTeX Warning: Reference `tab:CellLib_gates' on page 40 undefined on input line 808. LaTeX Warning: Reference `tab:CellLib_gates_dffe' on page 40 undefined on input line 808. LaTeX Warning: Reference `tab:CellLib_gates_adff' on page 40 undefined on input line 808. LaTeX Warning: Reference `tab:CellLib_gates_adffe' on page 40 undefined on inpu t line 808. LaTeX Warning: Reference `tab:CellLib_gates_dffsr' on page 40 undefined on inpu t line 808. LaTeX Warning: Reference `tab:CellLib_gates_dffsre' on page 40 undefined on inp ut line 808. LaTeX Warning: Reference `tab:CellLib_gates_adlatch' on page 40 undefined on in put line 808. LaTeX Warning: Reference `tab:CellLib_gates_dlatchsr' on page 40 undefined on i nput line 808. LaTeX Warning: Reference `tab:CellLib_gates_sr' on page 40 undefined on input l ine 808. [40] [41] [42] [43] Package natbib Warning: Citation `ABC' on page 44 undefined on input line 994. [44]) [45] (./CHAPTER_Prog.tex Chapter 6. (../guidelines/GettingStarted [46] [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmtt.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmtt.fd) [50]) (../guidelines/CodingStyle) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/stubnets.cc [51] [52] LaTeX Font Warning: Font shape `TS1/zi4/m/it' undefined (Font) using `TS1/zi4/m/n' instead (Font) for symbol `textquotesingle' on input line 74. [53]) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile) (./CHAPTER_Prog/test.v)) [54] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Warning: Reference `fig:Verilog_flow' on page 55 undefined on input line 9. LaTeX Font Warning: Font shape `T1/cmtt/b/n' undefined (Font) using `T1/cmtt/m/n' instead on input line 83. LaTeX Font Warning: Font shape `TS1/cmtt/b/n' undefined (Font) using `TS1/cmtt/m/n' instead (Font) for symbol `textasciigrave' on input line 83. [55] Package natbib Warning: Citation `flex' on page 56 undefined on input line 95. Underfull \hbox (badness 1558) in paragraph at lines 106--113 []\T1/lmr/m/n/10 Finally the lexer iden-ti-fies and han-dles spe-cial com-ments such as ``[][][][][][][]'' and Package natbib Warning: Citation `bison' on page 56 undefined on input line 117 . LaTeX Warning: Reference `tab:Verilog_AstNodeType' on page 56 undefined on inpu t line 392. [56] [57] [58] [59] [60] [61] Overfull \hbox (10.61266pt too wide) in paragraph at lines 669--673 []\T1/lmr/m/n/10 The right-hand-side is eval-u-ated us-ing [][][][][][][][]. Fo r this call, the val-ues of [][][] Underfull \hbox (badness 3460) in paragraph at lines 705--710 []\T1/lmr/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the se-lec-tion ex-pr es-sion is eval-u-ated us-ing Underfull \hbox (badness 2626) in paragraph at lines 713--716 []\T1/lmr/m/n/10 New tem-po-rary sig-nals are gen-er-ated for all sig-nals in [ ][][] and stored in [62] [63]) [64] (./CHAPTER_Optimize.tex Chapter 8. LaTeX Warning: Reference `chapter:celllib' on page 65 undefined on input line 3 7. LaTeX Warning: Reference `tab:opt_expr_and' on page 65 undefined on input line 64. Package natbib Warning: Citation `Verilog2005' on page 65 undefined on input li ne 69. [65] [66] [67] Package natbib Warning: Citation `fsmextract' on page 68 undefined on input lin e 202. [68] LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 69 undefined on i nput line 276. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 69 undefined on i nput line 278. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 69 undefined on i nput line 279. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 69 undefined on i nput line 281. [69] Package natbib Warning: Citation `Formality' on page 70 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 70 undefined on input line 320. LaTeX Warning: Reference `sec:techmap_extern' on page 70 undefined on input lin e 323. ) [70] (./CHAPTER_Techmap.tex Chapter 9. LaTeX Warning: Reference `sec:celllib_gates' on page 71 undefined on input line 12. LaTeX Warning: Reference `cmd:techmap' on page 71 undefined on input line 34. [71] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 72 undefine d on input line 61. LaTeX Warning: Reference `sec:SubCircuit' on page 72 undefined on input line 66 . Package natbib Warning: Citation `LibertyFormat' on page 72 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 72 undefined on input line 92. LaTeX Warning: Reference `sec:filterlib' on page 72 undefined on input line 100 . ) [72] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 73 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 73 undefi ned on input line 26. LaTeX Warning: Reference `cmd:extract' on page 73 undefined on input line 27. LaTeX Warning: Reference `cmd:sat' on page 73 undefined on input line 34. ) [73] (./CHAPTER_Auxprogs.tex Appendix B. LaTeX Warning: Reference `chapter:prog' on page 74 undefined on input line 11. LaTeX Warning: Reference `sec:techmap_extern' on page 74 undefined on input lin e 17. Package natbib Warning: Citation `ABC' on page 74 undefined on input line 22. ) [74] Appendix C. (./command-reference-manual.tex [75] [76] [77] [78] [79] Overfull \hbox (19.07178pt too wide) in paragraph at lines 289--290 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 290--291 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 291--292 [][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 292--293 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 294--295 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 295--296 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 296--297 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 297--298 [][][][][][][][][][][][][][][][][][][][] [80] Overfull \hbox (86.27267pt too wide) in paragraph at lines 324--325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (158.27364pt too wide) in paragraph at lines 325--326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90] [91] [92] [93] Overfull \hbox (41.82802pt too wide) in paragraph at lines 1039--1039 []\T1/lmr/bx/n/14.4 coolrunner2_fixup -- in-sert nec-es-sary buffer cells for C oolRunner- Overfull \hbox (32.63736pt too wide) in paragraph at lines 1047--1047 []\T1/lmr/bx/n/14.4 coolrunner2_sop -- break $sop cells into ANDTER-M/ORTERM [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] Overfull \hbox (86.27267pt too wide) in paragraph at lines 2828--2830 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] [128] [129] [130] [131] [132] [133] [134] [135] [136] Overfull \hbox (18.4983pt too wide) in paragraph at lines 3345--3345 []\T1/lmr/bx/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equ iv- [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] Overfull \hbox (1.04004pt too wide) in paragraph at lines 5526--5526 []\T1/lmr/bx/n/14.4 synth_achronix -- syn-the-sis for Acrhonix Speed-ster22i FP - [178] [179] [180] Overfull \hbox (100.67287pt too wide) in paragraph at lines 5650--5651 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] [181] [182] Overfull \hbox (163.0737pt too wide) in paragraph at lines 5764--5765 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [183] [184] [185] Overfull \hbox (9.47165pt too wide) in paragraph at lines 5945--5946 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 5953--5954 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (551.87889pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [186] [187] Overfull \hbox (43.0721pt too wide) in paragraph at lines 6070--6071 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] [188] [189] [190] Overfull \hbox (95.8728pt too wide) in paragraph at lines 6205--6206 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [191] [192] Overfull \hbox (81.47261pt too wide) in paragraph at lines 6324--6325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 6336--6338 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (196.67415pt too wide) in paragraph at lines 6340--6341 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [193] [194] Overfull \hbox (273.47517pt too wide) in paragraph at lines 6439--6440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [195] [196] [197] Overfull \hbox (431.87729pt too wide) in paragraph at lines 6565--6566 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 6579--6580 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (345.47614pt too wide) in paragraph at lines 6596--6597 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][] [198] [199] [200] [201] [202] Overfull \hbox (225.47453pt too wide) in paragraph at lines 6831--6832 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] [203] [204] Overfull \hbox (100.67287pt too wide) in paragraph at lines 6941--6942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (297.4755pt too wide) in paragraph at lines 6951--6952 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] Overfull \hbox (119.87312pt too wide) in paragraph at lines 6952--6953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] [205] [206] Overfull \hbox (259.07498pt too wide) in paragraph at lines 7080--7081 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.27203pt too wide) in paragraph at lines 7090--7091 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] [207] Overfull \hbox (331.07594pt too wide) in paragraph at lines 7096--7097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [208] Overfull \hbox (398.27684pt too wide) in paragraph at lines 7189--7190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][] [209] [210] [211] Overfull \hbox (47.87216pt too wide) in paragraph at lines 7321--7322 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 7322--7323 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [212] [213] [214] Overfull \hbox (28.6719pt too wide) in paragraph at lines 7495--7496 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (86.27267pt too wide) in paragraph at lines 7499--7500 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] Overfull \hbox (100.67287pt too wide) in paragraph at lines 7504--7505 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 7510--7511 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [215] [216] [217] [218] [219] [220] [221] [222] [223] [224] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] Overfull \hbox (4.67159pt too wide) in paragraph at lines 8705--8706 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] [248] [249] [250]) [251] (./CHAPTER_TextRtlil.tex Appendix D. [252] [253] [254] LaTeX Warning: Reference `sec:rtlil_sigspec' on page 255 undefined on input lin e 153. LaTeX Warning: Reference `sec:rtlil_cell_wire' on page 255 undefined on input l ine 175. LaTeX Warning: Reference `sec:rtlil_memory' on page 255 undefined on input line 198. LaTeX Warning: Reference `sec:memcells' on page 255 undefined on input line 198 . [255] LaTeX Warning: Reference `chapter:celllib' on page 256 undefined on input line 215. LaTeX Warning: Reference `sec:rtlil_process' on page 256 undefined on input lin e 237. [256]) [257] (./CHAPTER_Appnotes.tex Appendix E. LaTeX Warning: Reference `app:010' on page 258 undefined on input line 16. LaTeX Warning: Reference `app:011' on page 258 undefined on input line 17. LaTeX Warning: Reference `app:012' on page 258 undefined on input line 18. [258] [259 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [260 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [261 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [262 <./APPNOTE_011_Design _Investigation.pdf>] [263 <./APPNOTE_011_Design_Investigation.pdf>] [264 <./APP NOTE_011_Design_Investigation.pdf>] [265 <./APPNOTE_011_Design_Investigation.pd f>] [266 <./APPNOTE_011_Design_Investigation.pdf>] [267 <./APPNOTE_011_Design_I nvestigation.pdf>] [268 <./APPNOTE_011_Design_Investigation.pdf>] [269 <./APPNO TE_011_Design_Investigation.pdf>] [270 <./APPNOTE_011_Design_Investigation.pdf> ] [271 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [272 <./APPNOTE_012_Verilog_to_BTOR .pdf>] [273 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) No file manual.bbl. No file weblink.bbl. Package natbib Warning: There were undefined citations. (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux)) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Package rerunfilecheck Warning: File `manual.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/lm/lm-mathsy.enc} kpathsea: Running mktexpk --mfmode / --bdpi 600 --mag 1+0/600 --dpi 600 tctt1000 mktexpk: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1+0/600; nonstopmode; input tctt1000 This is METAFONT, Version 2.71828182 (TeX Live 2022/Debian) (preloaded base=mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tctt1000.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exbase.mf) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/tctt.mf (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymb.mf Ok (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/exaccess.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txpseudo.mf Ok) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txaccent.mf Ok [0] [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [27] [29]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txgen.mf Ok [100] [109] [98] [99] [108]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txsymbol.mf Ok [13] [18] [21] [22] [23] [24] [25] [26] [28] [31] [32] [36] [39] [44] [45] [46] [42] [47] [60] [61] [62] [77] [79] [87] [110] [91] [93] [94] [95] [96] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] [138] [139] [140] [141] [142] [143] [144] [145] [146] [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [171] [172] [173] [174] [175] [177] [176] [180] [181] [182] [183] [184] [187] [191] [214] [246]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txromod.mf Ok [48] [49] [50] [51] [52] [53] [54] [55] [56] [57]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrsuper.mf Ok [185] [178] [179] [170] [186]) (/usr/share/texlive/texmf-dist/fonts/source/jknappen/ec/txrfract.mf Ok [188] [189] [190]) ) ) ) Font metrics written on tctt1000.tfm. Output written on tctt1000.600gf (128 characters, 19540 bytes). Transcript written on tctt1000.log. mktexpk: /build/yosys-0.23/debian/.debhelper/generated/_source/home/.texlive2022/texmf-var/fonts/pk/ljfour/jknappen/ec/tctt1000.600pk: successfully generated. {/usr/s hare/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i4-ts1.enc}{/usr/share/texm f/fonts/enc/dvips/lm/lm-ec.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.e nc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}{/usr/share/texmf/fonts/enc/d vips/lm/lm-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i 4-t1-0.enc} Output written on manual.pdf (273 pages, 1771247 bytes). Transcript written on manual.log. + false + bibtex manual.aux This is BibTeX, Version 0.99d (TeX Live 2022/Debian) The top-level auxiliary file: manual.aux The style file: alphadin.bst Database file #1: literature.bib Warning--to sort, need author or key in Verilog2005 Warning--to sort, need author or key in VerilogSynth Warning--to sort, need author or key in VHDL Warning--to sort, need author or key in VHDLSynth Warning--to sort, need author or key in IP-XACT Warning--empty pages in Cummings00 Warning--empty pages in intersynthFdlBookChapter Warning--empty author in IP-XACT Warning--empty author in VerilogSynth Warning--empty author in Verilog2005 Warning--empty author in VHDLSynth Warning--empty author in VHDL (There were 12 warnings) + bibtex weblink.aux This is BibTeX, Version 0.99d (TeX Live 2022/Debian) The top-level auxiliary file: weblink.aux The style file: abbrv.bst Database file #1: weblinks.bib Warning--to sort, need author or key in C_to_Verilog Warning--to sort, need author or key in LegUp Warning--to sort, need author or key in flex Warning--to sort, need author or key in bison Warning--to sort, need author or key in LibertyFormat Warning--to sort, need author or key in OR1200 Warning--to sort, need author or key in openMSP430 Warning--to sort, need author or key in i2cmaster Warning--to sort, need author or key in k68 Warning--to sort, need author or key in Formality (There were 10 warnings) + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_TextRtlil.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{manual.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./manual.tex (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/mdwtools/syntax.sty) (./weblink.aux) Writing index file manual.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape-nometadata.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty))) (./manual.out) (./manual.out) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 171. [2] (/usr/share/texmf/tex/latex/lm/ot1lmr.fd) (/usr/share/texmf/tex/latex/lm/omllmm.fd) (/usr/share/texmf/tex/latex/lm/omslmsy.fd) (/usr/share/texmf/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] Overfull \hbox (1.99973pt too wide) detected at line 203 \T1/lmr/m/n/10 C.100 Overfull \hbox (1.99973pt too wide) detected at line 204 \T1/lmr/m/n/10 C.101 Overfull \hbox (1.99973pt too wide) detected at line 205 \T1/lmr/m/n/10 C.102 Overfull \hbox (1.99973pt too wide) detected at line 206 \T1/lmr/m/n/10 C.103 Overfull \hbox (1.99973pt too wide) detected at line 207 \T1/lmr/m/n/10 C.104 Overfull \hbox (1.99973pt too wide) detected at line 208 \T1/lmr/m/n/10 C.105 Overfull \hbox (1.99973pt too wide) detected at line 209 \T1/lmr/m/n/10 C.106 Overfull \hbox (1.99973pt too wide) detected at line 210 \T1/lmr/m/n/10 C.107 Overfull \hbox (1.99973pt too wide) detected at line 211 \T1/lmr/m/n/10 C.108 [9] Overfull \hbox (1.99973pt too wide) detected at line 212 \T1/lmr/m/n/10 C.109 Overfull \hbox (1.99973pt too wide) detected at line 213 \T1/lmr/m/n/10 C.110 Overfull \hbox (1.99973pt too wide) detected at line 214 \T1/lmr/m/n/10 C.111 Overfull \hbox (1.99973pt too wide) detected at line 215 \T1/lmr/m/n/10 C.112 Overfull \hbox (1.99973pt too wide) detected at line 216 \T1/lmr/m/n/10 C.113 Overfull \hbox (1.99973pt too wide) detected at line 217 \T1/lmr/m/n/10 C.114 Overfull \hbox (1.99973pt too wide) detected at line 218 \T1/lmr/m/n/10 C.115 Overfull \hbox (1.99973pt too wide) detected at line 219 \T1/lmr/m/n/10 C.116 Overfull \hbox (1.99973pt too wide) detected at line 220 \T1/lmr/m/n/10 C.117 Overfull \hbox (1.99973pt too wide) detected at line 221 \T1/lmr/m/n/10 C.118 Overfull \hbox (1.99973pt too wide) detected at line 222 \T1/lmr/m/n/10 C.119 Overfull \hbox (1.99973pt too wide) detected at line 223 \T1/lmr/m/n/10 C.120 Overfull \hbox (1.99973pt too wide) detected at line 224 \T1/lmr/m/n/10 C.121 Overfull \hbox (1.99973pt too wide) detected at line 225 \T1/lmr/m/n/10 C.122 Overfull \hbox (1.99973pt too wide) detected at line 226 \T1/lmr/m/n/10 C.123 Overfull \hbox (1.99973pt too wide) detected at line 227 \T1/lmr/m/n/10 C.124 Overfull \hbox (1.99973pt too wide) detected at line 228 \T1/lmr/m/n/10 C.125 Overfull \hbox (1.99973pt too wide) detected at line 229 \T1/lmr/m/n/10 C.126 Overfull \hbox (1.99973pt too wide) detected at line 230 \T1/lmr/m/n/10 C.127 Overfull \hbox (1.99973pt too wide) detected at line 231 \T1/lmr/m/n/10 C.128 Overfull \hbox (1.99973pt too wide) detected at line 232 \T1/lmr/m/n/10 C.129 Overfull \hbox (1.99973pt too wide) detected at line 233 \T1/lmr/m/n/10 C.130 Overfull \hbox (1.99973pt too wide) detected at line 234 \T1/lmr/m/n/10 C.131 Overfull \hbox (1.99973pt too wide) detected at line 235 \T1/lmr/m/n/10 C.132 Overfull \hbox (1.99973pt too wide) detected at line 236 \T1/lmr/m/n/10 C.133 Overfull \hbox (1.99973pt too wide) detected at line 237 \T1/lmr/m/n/10 C.134 Overfull \hbox (1.99973pt too wide) detected at line 238 \T1/lmr/m/n/10 C.135 Overfull \hbox (1.99973pt too wide) detected at line 239 \T1/lmr/m/n/10 C.136 Overfull \hbox (1.99973pt too wide) detected at line 240 \T1/lmr/m/n/10 C.137 Overfull \hbox (1.99973pt too wide) detected at line 241 \T1/lmr/m/n/10 C.138 Overfull \hbox (1.99973pt too wide) detected at line 242 \T1/lmr/m/n/10 C.139 Overfull \hbox (1.99973pt too wide) detected at line 243 \T1/lmr/m/n/10 C.140 Overfull \hbox (1.99973pt too wide) detected at line 244 \T1/lmr/m/n/10 C.141 Overfull \hbox (1.99973pt too wide) detected at line 245 \T1/lmr/m/n/10 C.142 Overfull \hbox (1.99973pt too wide) detected at line 246 \T1/lmr/m/n/10 C.143 Overfull \hbox (1.99973pt too wide) detected at line 247 \T1/lmr/m/n/10 C.144 [10] Overfull \hbox (1.99973pt too wide) detected at line 248 \T1/lmr/m/n/10 C.145 Overfull \hbox (1.99973pt too wide) detected at line 249 \T1/lmr/m/n/10 C.146 Overfull \hbox (1.99973pt too wide) detected at line 250 \T1/lmr/m/n/10 C.147 Overfull \hbox (1.99973pt too wide) detected at line 251 \T1/lmr/m/n/10 C.148 Overfull \hbox (1.99973pt too wide) detected at line 252 \T1/lmr/m/n/10 C.149 Overfull \hbox (1.99973pt too wide) detected at line 253 \T1/lmr/m/n/10 C.150 Overfull \hbox (1.99973pt too wide) detected at line 254 \T1/lmr/m/n/10 C.151 Overfull \hbox (1.99973pt too wide) detected at line 255 \T1/lmr/m/n/10 C.152 Overfull \hbox (1.99973pt too wide) detected at line 256 \T1/lmr/m/n/10 C.153 Overfull \hbox (1.99973pt too wide) detected at line 257 \T1/lmr/m/n/10 C.154 Overfull \hbox (1.99973pt too wide) detected at line 258 \T1/lmr/m/n/10 C.155 Overfull \hbox (1.99973pt too wide) detected at line 259 \T1/lmr/m/n/10 C.156 Overfull \hbox (1.99973pt too wide) detected at line 260 \T1/lmr/m/n/10 C.157 Overfull \hbox (1.99973pt too wide) detected at line 261 \T1/lmr/m/n/10 C.158 Overfull \hbox (1.99973pt too wide) detected at line 262 \T1/lmr/m/n/10 C.159 Overfull \hbox (1.99973pt too wide) detected at line 263 \T1/lmr/m/n/10 C.160 Overfull \hbox (1.99973pt too wide) detected at line 264 \T1/lmr/m/n/10 C.161 Overfull \hbox (1.99973pt too wide) detected at line 265 \T1/lmr/m/n/10 C.162 Overfull \hbox (1.99973pt too wide) detected at line 266 \T1/lmr/m/n/10 C.163 Overfull \hbox (1.99973pt too wide) detected at line 267 \T1/lmr/m/n/10 C.164 Overfull \hbox (1.99973pt too wide) detected at line 268 \T1/lmr/m/n/10 C.165 Overfull \hbox (1.99973pt too wide) detected at line 269 \T1/lmr/m/n/10 C.166 Overfull \hbox (1.99973pt too wide) detected at line 270 \T1/lmr/m/n/10 C.167 Overfull \hbox (1.99973pt too wide) detected at line 271 \T1/lmr/m/n/10 C.168 Overfull \hbox (1.99973pt too wide) detected at line 272 \T1/lmr/m/n/10 C.169 Overfull \hbox (1.99973pt too wide) detected at line 273 \T1/lmr/m/n/10 C.170 Overfull \hbox (1.99973pt too wide) detected at line 274 \T1/lmr/m/n/10 C.171 Overfull \hbox (1.99973pt too wide) detected at line 275 \T1/lmr/m/n/10 C.172 Overfull \hbox (1.99973pt too wide) detected at line 276 \T1/lmr/m/n/10 C.173 Overfull \hbox (1.99973pt too wide) detected at line 277 \T1/lmr/m/n/10 C.174 Overfull \hbox (1.99973pt too wide) detected at line 278 \T1/lmr/m/n/10 C.175 Overfull \hbox (1.99973pt too wide) detected at line 279 \T1/lmr/m/n/10 C.176 Overfull \hbox (1.99973pt too wide) detected at line 280 \T1/lmr/m/n/10 C.177 Overfull \hbox (1.99973pt too wide) detected at line 281 \T1/lmr/m/n/10 C.178 Overfull \hbox (1.99973pt too wide) detected at line 282 \T1/lmr/m/n/10 C.179 Overfull \hbox (1.99973pt too wide) detected at line 283 \T1/lmr/m/n/10 C.180 [11] Overfull \hbox (1.99973pt too wide) detected at line 284 \T1/lmr/m/n/10 C.181 Overfull \hbox (1.99973pt too wide) detected at line 285 \T1/lmr/m/n/10 C.182 Overfull \hbox (1.99973pt too wide) detected at line 286 \T1/lmr/m/n/10 C.183 Overfull \hbox (1.99973pt too wide) detected at line 287 \T1/lmr/m/n/10 C.184 Overfull \hbox (1.99973pt too wide) detected at line 288 \T1/lmr/m/n/10 C.185 Overfull \hbox (1.99973pt too wide) detected at line 289 \T1/lmr/m/n/10 C.186 Overfull \hbox (1.99973pt too wide) detected at line 290 \T1/lmr/m/n/10 C.187 Overfull \hbox (1.99973pt too wide) detected at line 291 \T1/lmr/m/n/10 C.188 Overfull \hbox (1.99973pt too wide) detected at line 292 \T1/lmr/m/n/10 C.189 Overfull \hbox (1.99973pt too wide) detected at line 293 \T1/lmr/m/n/10 C.190 Overfull \hbox (1.99973pt too wide) detected at line 294 \T1/lmr/m/n/10 C.191 Overfull \hbox (1.99973pt too wide) detected at line 295 \T1/lmr/m/n/10 C.192 Overfull \hbox (1.99973pt too wide) detected at line 296 \T1/lmr/m/n/10 C.193 Overfull \hbox (1.99973pt too wide) detected at line 297 \T1/lmr/m/n/10 C.194 Overfull \hbox (1.99973pt too wide) detected at line 298 \T1/lmr/m/n/10 C.195 Overfull \hbox (1.99973pt too wide) detected at line 299 \T1/lmr/m/n/10 C.196 Overfull \hbox (1.99973pt too wide) detected at line 300 \T1/lmr/m/n/10 C.197 Overfull \hbox (1.99973pt too wide) detected at line 301 \T1/lmr/m/n/10 C.198 Overfull \hbox (1.99973pt too wide) detected at line 302 \T1/lmr/m/n/10 C.199 Overfull \hbox (1.99973pt too wide) detected at line 303 \T1/lmr/m/n/10 C.200 Overfull \hbox (1.99973pt too wide) detected at line 304 \T1/lmr/m/n/10 C.201 Overfull \hbox (1.99973pt too wide) detected at line 305 \T1/lmr/m/n/10 C.202 Overfull \hbox (1.99973pt too wide) detected at line 306 \T1/lmr/m/n/10 C.203 Overfull \hbox (1.99973pt too wide) detected at line 307 \T1/lmr/m/n/10 C.204 Overfull \hbox (1.99973pt too wide) detected at line 308 \T1/lmr/m/n/10 C.205 Overfull \hbox (1.99973pt too wide) detected at line 309 \T1/lmr/m/n/10 C.206 Overfull \hbox (1.99973pt too wide) detected at line 310 \T1/lmr/m/n/10 C.207 Overfull \hbox (1.99973pt too wide) detected at line 311 \T1/lmr/m/n/10 C.208 Overfull \hbox (1.99973pt too wide) detected at line 312 \T1/lmr/m/n/10 C.209 Overfull \hbox (1.99973pt too wide) detected at line 313 \T1/lmr/m/n/10 C.210 Overfull \hbox (1.99973pt too wide) detected at line 314 \T1/lmr/m/n/10 C.211 Overfull \hbox (1.99973pt too wide) detected at line 315 \T1/lmr/m/n/10 C.212 Overfull \hbox (1.99973pt too wide) detected at line 316 \T1/lmr/m/n/10 C.213 Overfull \hbox (1.99973pt too wide) detected at line 317 \T1/lmr/m/n/10 C.214 Overfull \hbox (1.99973pt too wide) detected at line 318 \T1/lmr/m/n/10 C.215 Overfull \hbox (1.99973pt too wide) detected at line 319 \T1/lmr/m/n/10 C.216 [12] Overfull \hbox (1.99973pt too wide) detected at line 320 \T1/lmr/m/n/10 C.217 Overfull \hbox (1.99973pt too wide) detected at line 321 \T1/lmr/m/n/10 C.218 Overfull \hbox (1.99973pt too wide) detected at line 322 \T1/lmr/m/n/10 C.219 Overfull \hbox (1.99973pt too wide) detected at line 323 \T1/lmr/m/n/10 C.220 Overfull \hbox (1.99973pt too wide) detected at line 324 \T1/lmr/m/n/10 C.221 Overfull \hbox (1.99973pt too wide) detected at line 325 \T1/lmr/m/n/10 C.222 Overfull \hbox (1.99973pt too wide) detected at line 326 \T1/lmr/m/n/10 C.223 Overfull \hbox (1.99973pt too wide) detected at line 327 \T1/lmr/m/n/10 C.224 Overfull \hbox (1.99973pt too wide) detected at line 328 \T1/lmr/m/n/10 C.225 Overfull \hbox (1.99973pt too wide) detected at line 329 \T1/lmr/m/n/10 C.226 Overfull \hbox (1.99973pt too wide) detected at line 330 \T1/lmr/m/n/10 C.227 Overfull \hbox (1.99973pt too wide) detected at line 331 \T1/lmr/m/n/10 C.228 Overfull \hbox (1.99973pt too wide) detected at line 332 \T1/lmr/m/n/10 C.229 Overfull \hbox (1.99973pt too wide) detected at line 333 \T1/lmr/m/n/10 C.230 Overfull \hbox (1.99973pt too wide) detected at line 334 \T1/lmr/m/n/10 C.231 Overfull \hbox (1.99973pt too wide) detected at line 335 \T1/lmr/m/n/10 C.232 Overfull \hbox (1.99973pt too wide) detected at line 336 \T1/lmr/m/n/10 C.233 Overfull \hbox (1.99973pt too wide) detected at line 337 \T1/lmr/m/n/10 C.234 Overfull \hbox (1.99973pt too wide) detected at line 338 \T1/lmr/m/n/10 C.235 Overfull \hbox (1.99973pt too wide) detected at line 339 \T1/lmr/m/n/10 C.236 Overfull \hbox (1.99973pt too wide) detected at line 340 \T1/lmr/m/n/10 C.237 Overfull \hbox (1.99973pt too wide) detected at line 341 \T1/lmr/m/n/10 C.238 Overfull \hbox (1.99973pt too wide) detected at line 342 \T1/lmr/m/n/10 C.239 Overfull \hbox (1.99973pt too wide) detected at line 343 \T1/lmr/m/n/10 C.240 Overfull \hbox (1.99973pt too wide) detected at line 344 \T1/lmr/m/n/10 C.241 Overfull \hbox (1.99973pt too wide) detected at line 345 \T1/lmr/m/n/10 C.242 Overfull \hbox (1.99973pt too wide) detected at line 346 \T1/lmr/m/n/10 C.243 Overfull \hbox (1.99973pt too wide) detected at line 347 \T1/lmr/m/n/10 C.244 Overfull \hbox (1.99973pt too wide) detected at line 348 \T1/lmr/m/n/10 C.245 Overfull \hbox (1.99973pt too wide) detected at line 349 \T1/lmr/m/n/10 C.246 Overfull \hbox (1.99973pt too wide) detected at line 350 \T1/lmr/m/n/10 C.247 [13]) [14] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 15 undefined on input li ne 17. Package natbib Warning: Citation `VerilogSynth' on page 15 undefined on input l ine 17. Package natbib Warning: Citation `VHDL' on page 15 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 15 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 15 undefined on input lin e 27. Package natbib Warning: Citation `LogicSynthesis' on page 15 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 15 undefined on input lin e 43. LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 52. [15] LaTeX Warning: Reference `chapter:eval' on page 16 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 16 undefined on input line 96. ) [16] (./CHAPTER_Basics.tex Chapter 2. Package natbib Warning: Citation `ABEL' on page 17 undefined on input line 68. [17] Package natbib Warning: Citation `IP-XACT' on page 18 undefined on input line 8 5. Package natbib Warning: Citation `C_to_Verilog' on page 18 undefined on input l ine 101. Package natbib Warning: Citation `LegUp' on page 18 undefined on input line 101 . (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 18 undefined on input line 139. [18] LaTeX Font Warning: Font shape `T1/zi4/m/it' undefined (Font) using `T1/zi4/m/n' instead on input line 148. Package natbib Warning: Citation `MultiLevelLogicSynth' on page 19 undefined on input line 199. [19] Package natbib Warning: Citation `ABC' on page 20 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 20 undefined on input line 206 . Package natbib Warning: Citation `MVSIS' on page 20 undefined on input line 206 . Package natbib Warning: Citation `ABC' on page 20 undefined on input line 209. Package natbib Warning: Citation `Verilog2005' on page 20 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 20 undefined on input l ine 245. (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [20] [21] Package natbib Warning: Citation `Cummings00' on page 22 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 22 undefined on inp ut line 363. [22] LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 23 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 23 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 23 undefined on input line 391. [23] [24] Package natbib Warning: Citation `Dragonbook' on page 25 undefined on input lin e 585. Package natbib Warning: Citation `flex' on page 25 undefined on input line 609. Package natbib Warning: Citation `bison' on page 25 undefined on input line 623 . [25] Package natbib Warning: Citation `Dragonbook' on page 26 undefined on input lin e 683. [26]) [27] (./CHAPTER_Approach.tex Chapter 3. [28] Package natbib Warning: Citation `LibertyFormat' on page 29 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) [29]) [30] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 31 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 31 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 31 undefined on input line 14. Package natbib Warning: Citation `k68' on page 31 undefined on input line 14. [31] [32] [33] [34] (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) Overfull \hbox (20.05457pt too wide) in paragraph at lines 292--294 []\T1/lmr/m/n/10 The RTLIL::SigSpec data type is used to rep-re-sent sig-nals. The RTLIL::Cell ob-ject con-tains one RTLIL::SigSpec [35] Overfull \hbox (8.67525pt too wide) in paragraph at lines 350--352 []\T1/lmr/m/n/10 An RTLIL::Process is a con-tainer for zero or more RTLIL::Sync Rule ob-jects and ex-actly one RTLIL::CaseRule Overfull \hbox (1.83148pt too wide) in paragraph at lines 358--361 \T1/lmr/m/n/10 RTLIL::SwitchRule ob-jects. An RTLIL::SwitchRule ob-jects is a c on-tainer for zero or more RTLIL::CaseRule [36] [37] [38] [39]) [40] (./CHAPTER_CellLib.tex Chapter 5. [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] Package natbib Warning: Citation `ABC' on page 54 undefined on input line 994. [54]) [55] (./CHAPTER_Prog.tex Chapter 6. (../guidelines/GettingStarted [56] [57] [58] [59] (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmtt.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmtt.fd) [60]) (../guidelines/CodingStyle) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/stubnets.cc [61] [62] LaTeX Font Warning: Font shape `TS1/zi4/m/it' undefined (Font) using `TS1/zi4/m/n' instead (Font) for symbol `textquotesingle' on input line 74. [63]) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile) (./CHAPTER_Prog/test.v)) [64] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Font Warning: Font shape `T1/cmtt/b/n' undefined (Font) using `T1/cmtt/m/n' instead on input line 83. LaTeX Font Warning: Font shape `TS1/cmtt/b/n' undefined (Font) using `TS1/cmtt/m/n' instead (Font) for symbol `textasciigrave' on input line 83. [65] Package natbib Warning: Citation `flex' on page 66 undefined on input line 95. Underfull \hbox (badness 1558) in paragraph at lines 106--113 []\T1/lmr/m/n/10 Finally the lexer iden-ti-fies and han-dles spe-cial com-ments such as ``[][][][][][][]'' and Package natbib Warning: Citation `bison' on page 66 undefined on input line 117 . [66] [67] [68] [69] [70] [71] Overfull \hbox (10.61266pt too wide) in paragraph at lines 669--673 []\T1/lmr/m/n/10 The right-hand-side is eval-u-ated us-ing [][][][][][][][]. Fo r this call, the val-ues of [][][] Underfull \hbox (badness 3460) in paragraph at lines 705--710 []\T1/lmr/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the se-lec-tion ex-pr es-sion is eval-u-ated us-ing Underfull \hbox (badness 2626) in paragraph at lines 713--716 []\T1/lmr/m/n/10 New tem-po-rary sig-nals are gen-er-ated for all sig-nals in [ ][][] and stored in [72] [73]) [74] (./CHAPTER_Optimize.tex Chapter 8. Package natbib Warning: Citation `Verilog2005' on page 75 undefined on input li ne 69. [75] [76] [77] Package natbib Warning: Citation `fsmextract' on page 78 undefined on input lin e 202. [78] [79] Package natbib Warning: Citation `Formality' on page 80 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 80 undefined on input line 320. ) [80] (./CHAPTER_Techmap.tex Chapter 9. [81] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 82 undefine d on input line 61. Package natbib Warning: Citation `LibertyFormat' on page 82 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 82 undefined on input line 92. ) [82] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 83 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 83 undefi ned on input line 26. ) [83] (./CHAPTER_Auxprogs.tex Appendix B. Package natbib Warning: Citation `ABC' on page 84 undefined on input line 22. ) [84] Appendix C. (./command-reference-manual.tex [85] [86] [87] [88] [89] Overfull \hbox (19.07178pt too wide) in paragraph at lines 289--290 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 290--291 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 291--292 [][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 292--293 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 294--295 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 295--296 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 296--297 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 297--298 [][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (86.27267pt too wide) in paragraph at lines 324--325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (158.27364pt too wide) in paragraph at lines 325--326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] Overfull \hbox (41.82802pt too wide) in paragraph at lines 1039--1039 []\T1/lmr/bx/n/14.4 coolrunner2_fixup -- in-sert nec-es-sary buffer cells for C oolRunner- Overfull \hbox (32.63736pt too wide) in paragraph at lines 1047--1047 []\T1/lmr/bx/n/14.4 coolrunner2_sop -- break $sop cells into ANDTER-M/ORTERM [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] Overfull \hbox (86.27267pt too wide) in paragraph at lines 2828--2830 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] [138] [139] [140] [141] [142] [143] [144] [145] [146] Overfull \hbox (18.4983pt too wide) in paragraph at lines 3345--3345 []\T1/lmr/bx/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equ iv- [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] Overfull \hbox (1.04004pt too wide) in paragraph at lines 5526--5526 []\T1/lmr/bx/n/14.4 synth_achronix -- syn-the-sis for Acrhonix Speed-ster22i FP - [188] [189] [190] Overfull \hbox (100.67287pt too wide) in paragraph at lines 5650--5651 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] [191] [192] Overfull \hbox (163.0737pt too wide) in paragraph at lines 5764--5765 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [193] [194] [195] Overfull \hbox (9.47165pt too wide) in paragraph at lines 5945--5946 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 5953--5954 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (551.87889pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [196] [197] Overfull \hbox (43.0721pt too wide) in paragraph at lines 6070--6071 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] [198] [199] [200] Overfull \hbox (95.8728pt too wide) in paragraph at lines 6205--6206 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [201] [202] Overfull \hbox (81.47261pt too wide) in paragraph at lines 6324--6325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 6336--6338 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (196.67415pt too wide) in paragraph at lines 6340--6341 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [203] [204] Overfull \hbox (273.47517pt too wide) in paragraph at lines 6439--6440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [205] [206] [207] Overfull \hbox (431.87729pt too wide) in paragraph at lines 6565--6566 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 6579--6580 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (345.47614pt too wide) in paragraph at lines 6596--6597 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][] [208] [209] [210] [211] [212] Overfull \hbox (225.47453pt too wide) in paragraph at lines 6831--6832 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] [213] [214] Overfull \hbox (100.67287pt too wide) in paragraph at lines 6941--6942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (297.4755pt too wide) in paragraph at lines 6951--6952 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] Overfull \hbox (119.87312pt too wide) in paragraph at lines 6952--6953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] [215] [216] Overfull \hbox (259.07498pt too wide) in paragraph at lines 7080--7081 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.27203pt too wide) in paragraph at lines 7090--7091 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] [217] Overfull \hbox (331.07594pt too wide) in paragraph at lines 7096--7097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [218] Overfull \hbox (398.27684pt too wide) in paragraph at lines 7189--7190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][] [219] [220] [221] Overfull \hbox (47.87216pt too wide) in paragraph at lines 7321--7322 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 7322--7323 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [222] [223] [224] Overfull \hbox (28.6719pt too wide) in paragraph at lines 7495--7496 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (86.27267pt too wide) in paragraph at lines 7499--7500 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] Overfull \hbox (100.67287pt too wide) in paragraph at lines 7504--7505 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 7510--7511 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] Overfull \hbox (4.67159pt too wide) in paragraph at lines 8705--8706 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [248] [249] [250] [251] [252] [253] [254] [255] [256] [257] [258] [259] [260]) [261] (./CHAPTER_TextRtlil.tex Appendix D. [262] [263] [264] [265] [266]) [267] (./CHAPTER_Appnotes.tex Appendix E. [268] [269 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [270 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [271 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [272 <./APPNOTE_011_Design _Investigation.pdf>] [273 <./APPNOTE_011_Design_Investigation.pdf>] [274 <./APP NOTE_011_Design_Investigation.pdf>] [275 <./APPNOTE_011_Design_Investigation.pd f>] [276 <./APPNOTE_011_Design_Investigation.pdf>] [277 <./APPNOTE_011_Design_I nvestigation.pdf>] [278 <./APPNOTE_011_Design_Investigation.pdf>] [279 <./APPNO TE_011_Design_Investigation.pdf>] [280 <./APPNOTE_011_Design_Investigation.pdf> ] [281 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [282 <./APPNOTE_012_Verilog_to_BTOR .pdf>] [283 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [284]) (./weblink.bbl [285]) Package natbib Warning: There were undefined citations. [286] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux) Package natbib Warning: Citation(s) may have changed. (natbib) Rerun to get citations correct. ) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/lm/lm-ec.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc} {/usr/share/texlive/texmf-dist/fonts/en c/dvips/inconsolata/i4-ts1.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.e nc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}{/usr/share/texmf/fonts/enc/d vips/lm/lm-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i 4-t1-0.enc} Output written on manual.pdf (286 pages, 1872655 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_TextRtlil.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{manual.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./manual.tex (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/mdwtools/syntax.sty) (./weblink.aux) Writing index file manual.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape-nometadata.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty))) (./manual.out) (./manual.out) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texmf/tex/latex/lm/ot1lmr.fd) (/usr/share/texmf/tex/latex/lm/omllmm.fd) (/usr/share/texmf/tex/latex/lm/omslmsy.fd) (/usr/share/texmf/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] Overfull \hbox (1.99973pt too wide) detected at line 203 \T1/lmr/m/n/10 C.100 Overfull \hbox (1.99973pt too wide) detected at line 204 \T1/lmr/m/n/10 C.101 Overfull \hbox (1.99973pt too wide) detected at line 205 \T1/lmr/m/n/10 C.102 Overfull \hbox (1.99973pt too wide) detected at line 206 \T1/lmr/m/n/10 C.103 Overfull \hbox (1.99973pt too wide) detected at line 207 \T1/lmr/m/n/10 C.104 Overfull \hbox (1.99973pt too wide) detected at line 208 \T1/lmr/m/n/10 C.105 Overfull \hbox (1.99973pt too wide) detected at line 209 \T1/lmr/m/n/10 C.106 Overfull \hbox (1.99973pt too wide) detected at line 210 \T1/lmr/m/n/10 C.107 Overfull \hbox (1.99973pt too wide) detected at line 211 \T1/lmr/m/n/10 C.108 [9] Overfull \hbox (1.99973pt too wide) detected at line 212 \T1/lmr/m/n/10 C.109 Overfull \hbox (1.99973pt too wide) detected at line 213 \T1/lmr/m/n/10 C.110 Overfull \hbox (1.99973pt too wide) detected at line 214 \T1/lmr/m/n/10 C.111 Overfull \hbox (1.99973pt too wide) detected at line 215 \T1/lmr/m/n/10 C.112 Overfull \hbox (1.99973pt too wide) detected at line 216 \T1/lmr/m/n/10 C.113 Overfull \hbox (1.99973pt too wide) detected at line 217 \T1/lmr/m/n/10 C.114 Overfull \hbox (1.99973pt too wide) detected at line 218 \T1/lmr/m/n/10 C.115 Overfull \hbox (1.99973pt too wide) detected at line 219 \T1/lmr/m/n/10 C.116 Overfull \hbox (1.99973pt too wide) detected at line 220 \T1/lmr/m/n/10 C.117 Overfull \hbox (1.99973pt too wide) detected at line 221 \T1/lmr/m/n/10 C.118 Overfull \hbox (1.99973pt too wide) detected at line 222 \T1/lmr/m/n/10 C.119 Overfull \hbox (1.99973pt too wide) detected at line 223 \T1/lmr/m/n/10 C.120 Overfull \hbox (1.99973pt too wide) detected at line 224 \T1/lmr/m/n/10 C.121 Overfull \hbox (1.99973pt too wide) detected at line 225 \T1/lmr/m/n/10 C.122 Overfull \hbox (1.99973pt too wide) detected at line 226 \T1/lmr/m/n/10 C.123 Overfull \hbox (1.99973pt too wide) detected at line 227 \T1/lmr/m/n/10 C.124 Overfull \hbox (1.99973pt too wide) detected at line 228 \T1/lmr/m/n/10 C.125 Overfull \hbox (1.99973pt too wide) detected at line 229 \T1/lmr/m/n/10 C.126 Overfull \hbox (1.99973pt too wide) detected at line 230 \T1/lmr/m/n/10 C.127 Overfull \hbox (1.99973pt too wide) detected at line 231 \T1/lmr/m/n/10 C.128 Overfull \hbox (1.99973pt too wide) detected at line 232 \T1/lmr/m/n/10 C.129 Overfull \hbox (1.99973pt too wide) detected at line 233 \T1/lmr/m/n/10 C.130 Overfull \hbox (1.99973pt too wide) detected at line 234 \T1/lmr/m/n/10 C.131 Overfull \hbox (1.99973pt too wide) detected at line 235 \T1/lmr/m/n/10 C.132 Overfull \hbox (1.99973pt too wide) detected at line 236 \T1/lmr/m/n/10 C.133 Overfull \hbox (1.99973pt too wide) detected at line 237 \T1/lmr/m/n/10 C.134 Overfull \hbox (1.99973pt too wide) detected at line 238 \T1/lmr/m/n/10 C.135 Overfull \hbox (1.99973pt too wide) detected at line 239 \T1/lmr/m/n/10 C.136 Overfull \hbox (1.99973pt too wide) detected at line 240 \T1/lmr/m/n/10 C.137 Overfull \hbox (1.99973pt too wide) detected at line 241 \T1/lmr/m/n/10 C.138 Overfull \hbox (1.99973pt too wide) detected at line 242 \T1/lmr/m/n/10 C.139 Overfull \hbox (1.99973pt too wide) detected at line 243 \T1/lmr/m/n/10 C.140 Overfull \hbox (1.99973pt too wide) detected at line 244 \T1/lmr/m/n/10 C.141 Overfull \hbox (1.99973pt too wide) detected at line 245 \T1/lmr/m/n/10 C.142 Overfull \hbox (1.99973pt too wide) detected at line 246 \T1/lmr/m/n/10 C.143 Overfull \hbox (1.99973pt too wide) detected at line 247 \T1/lmr/m/n/10 C.144 [10] Overfull \hbox (1.99973pt too wide) detected at line 248 \T1/lmr/m/n/10 C.145 Overfull \hbox (1.99973pt too wide) detected at line 249 \T1/lmr/m/n/10 C.146 Overfull \hbox (1.99973pt too wide) detected at line 250 \T1/lmr/m/n/10 C.147 Overfull \hbox (1.99973pt too wide) detected at line 251 \T1/lmr/m/n/10 C.148 Overfull \hbox (1.99973pt too wide) detected at line 252 \T1/lmr/m/n/10 C.149 Overfull \hbox (1.99973pt too wide) detected at line 253 \T1/lmr/m/n/10 C.150 Overfull \hbox (1.99973pt too wide) detected at line 254 \T1/lmr/m/n/10 C.151 Overfull \hbox (1.99973pt too wide) detected at line 255 \T1/lmr/m/n/10 C.152 Overfull \hbox (1.99973pt too wide) detected at line 256 \T1/lmr/m/n/10 C.153 Overfull \hbox (1.99973pt too wide) detected at line 257 \T1/lmr/m/n/10 C.154 Overfull \hbox (1.99973pt too wide) detected at line 258 \T1/lmr/m/n/10 C.155 Overfull \hbox (1.99973pt too wide) detected at line 259 \T1/lmr/m/n/10 C.156 Overfull \hbox (1.99973pt too wide) detected at line 260 \T1/lmr/m/n/10 C.157 Overfull \hbox (1.99973pt too wide) detected at line 261 \T1/lmr/m/n/10 C.158 Overfull \hbox (1.99973pt too wide) detected at line 262 \T1/lmr/m/n/10 C.159 Overfull \hbox (1.99973pt too wide) detected at line 263 \T1/lmr/m/n/10 C.160 Overfull \hbox (1.99973pt too wide) detected at line 264 \T1/lmr/m/n/10 C.161 Overfull \hbox (1.99973pt too wide) detected at line 265 \T1/lmr/m/n/10 C.162 Overfull \hbox (1.99973pt too wide) detected at line 266 \T1/lmr/m/n/10 C.163 Overfull \hbox (1.99973pt too wide) detected at line 267 \T1/lmr/m/n/10 C.164 Overfull \hbox (1.99973pt too wide) detected at line 268 \T1/lmr/m/n/10 C.165 Overfull \hbox (1.99973pt too wide) detected at line 269 \T1/lmr/m/n/10 C.166 Overfull \hbox (1.99973pt too wide) detected at line 270 \T1/lmr/m/n/10 C.167 Overfull \hbox (1.99973pt too wide) detected at line 271 \T1/lmr/m/n/10 C.168 Overfull \hbox (1.99973pt too wide) detected at line 272 \T1/lmr/m/n/10 C.169 Overfull \hbox (1.99973pt too wide) detected at line 273 \T1/lmr/m/n/10 C.170 Overfull \hbox (1.99973pt too wide) detected at line 274 \T1/lmr/m/n/10 C.171 Overfull \hbox (1.99973pt too wide) detected at line 275 \T1/lmr/m/n/10 C.172 Overfull \hbox (1.99973pt too wide) detected at line 276 \T1/lmr/m/n/10 C.173 Overfull \hbox (1.99973pt too wide) detected at line 277 \T1/lmr/m/n/10 C.174 Overfull \hbox (1.99973pt too wide) detected at line 278 \T1/lmr/m/n/10 C.175 Overfull \hbox (1.99973pt too wide) detected at line 279 \T1/lmr/m/n/10 C.176 Overfull \hbox (1.99973pt too wide) detected at line 280 \T1/lmr/m/n/10 C.177 Overfull \hbox (1.99973pt too wide) detected at line 281 \T1/lmr/m/n/10 C.178 Overfull \hbox (1.99973pt too wide) detected at line 282 \T1/lmr/m/n/10 C.179 Overfull \hbox (1.99973pt too wide) detected at line 283 \T1/lmr/m/n/10 C.180 [11] Overfull \hbox (1.99973pt too wide) detected at line 284 \T1/lmr/m/n/10 C.181 Overfull \hbox (1.99973pt too wide) detected at line 285 \T1/lmr/m/n/10 C.182 Overfull \hbox (1.99973pt too wide) detected at line 286 \T1/lmr/m/n/10 C.183 Overfull \hbox (1.99973pt too wide) detected at line 287 \T1/lmr/m/n/10 C.184 Overfull \hbox (1.99973pt too wide) detected at line 288 \T1/lmr/m/n/10 C.185 Overfull \hbox (1.99973pt too wide) detected at line 289 \T1/lmr/m/n/10 C.186 Overfull \hbox (1.99973pt too wide) detected at line 290 \T1/lmr/m/n/10 C.187 Overfull \hbox (1.99973pt too wide) detected at line 291 \T1/lmr/m/n/10 C.188 Overfull \hbox (1.99973pt too wide) detected at line 292 \T1/lmr/m/n/10 C.189 Overfull \hbox (1.99973pt too wide) detected at line 293 \T1/lmr/m/n/10 C.190 Overfull \hbox (1.99973pt too wide) detected at line 294 \T1/lmr/m/n/10 C.191 Overfull \hbox (1.99973pt too wide) detected at line 295 \T1/lmr/m/n/10 C.192 Overfull \hbox (1.99973pt too wide) detected at line 296 \T1/lmr/m/n/10 C.193 Overfull \hbox (1.99973pt too wide) detected at line 297 \T1/lmr/m/n/10 C.194 Overfull \hbox (1.99973pt too wide) detected at line 298 \T1/lmr/m/n/10 C.195 Overfull \hbox (1.99973pt too wide) detected at line 299 \T1/lmr/m/n/10 C.196 Overfull \hbox (1.99973pt too wide) detected at line 300 \T1/lmr/m/n/10 C.197 Overfull \hbox (1.99973pt too wide) detected at line 301 \T1/lmr/m/n/10 C.198 Overfull \hbox (1.99973pt too wide) detected at line 302 \T1/lmr/m/n/10 C.199 Overfull \hbox (1.99973pt too wide) detected at line 303 \T1/lmr/m/n/10 C.200 Overfull \hbox (1.99973pt too wide) detected at line 304 \T1/lmr/m/n/10 C.201 Overfull \hbox (1.99973pt too wide) detected at line 305 \T1/lmr/m/n/10 C.202 Overfull \hbox (1.99973pt too wide) detected at line 306 \T1/lmr/m/n/10 C.203 Overfull \hbox (1.99973pt too wide) detected at line 307 \T1/lmr/m/n/10 C.204 Overfull \hbox (1.99973pt too wide) detected at line 308 \T1/lmr/m/n/10 C.205 Overfull \hbox (1.99973pt too wide) detected at line 309 \T1/lmr/m/n/10 C.206 Overfull \hbox (1.99973pt too wide) detected at line 310 \T1/lmr/m/n/10 C.207 Overfull \hbox (1.99973pt too wide) detected at line 311 \T1/lmr/m/n/10 C.208 Overfull \hbox (1.99973pt too wide) detected at line 312 \T1/lmr/m/n/10 C.209 Overfull \hbox (1.99973pt too wide) detected at line 313 \T1/lmr/m/n/10 C.210 Overfull \hbox (1.99973pt too wide) detected at line 314 \T1/lmr/m/n/10 C.211 Overfull \hbox (1.99973pt too wide) detected at line 315 \T1/lmr/m/n/10 C.212 Overfull \hbox (1.99973pt too wide) detected at line 316 \T1/lmr/m/n/10 C.213 Overfull \hbox (1.99973pt too wide) detected at line 317 \T1/lmr/m/n/10 C.214 Overfull \hbox (1.99973pt too wide) detected at line 318 \T1/lmr/m/n/10 C.215 Overfull \hbox (1.99973pt too wide) detected at line 319 \T1/lmr/m/n/10 C.216 [12] Overfull \hbox (1.99973pt too wide) detected at line 320 \T1/lmr/m/n/10 C.217 Overfull \hbox (1.99973pt too wide) detected at line 321 \T1/lmr/m/n/10 C.218 Overfull \hbox (1.99973pt too wide) detected at line 322 \T1/lmr/m/n/10 C.219 Overfull \hbox (1.99973pt too wide) detected at line 323 \T1/lmr/m/n/10 C.220 Overfull \hbox (1.99973pt too wide) detected at line 324 \T1/lmr/m/n/10 C.221 Overfull \hbox (1.99973pt too wide) detected at line 325 \T1/lmr/m/n/10 C.222 Overfull \hbox (1.99973pt too wide) detected at line 326 \T1/lmr/m/n/10 C.223 Overfull \hbox (1.99973pt too wide) detected at line 327 \T1/lmr/m/n/10 C.224 Overfull \hbox (1.99973pt too wide) detected at line 328 \T1/lmr/m/n/10 C.225 Overfull \hbox (1.99973pt too wide) detected at line 329 \T1/lmr/m/n/10 C.226 Overfull \hbox (1.99973pt too wide) detected at line 330 \T1/lmr/m/n/10 C.227 Overfull \hbox (1.99973pt too wide) detected at line 331 \T1/lmr/m/n/10 C.228 Overfull \hbox (1.99973pt too wide) detected at line 332 \T1/lmr/m/n/10 C.229 Overfull \hbox (1.99973pt too wide) detected at line 333 \T1/lmr/m/n/10 C.230 Overfull \hbox (1.99973pt too wide) detected at line 334 \T1/lmr/m/n/10 C.231 Overfull \hbox (1.99973pt too wide) detected at line 335 \T1/lmr/m/n/10 C.232 Overfull \hbox (1.99973pt too wide) detected at line 336 \T1/lmr/m/n/10 C.233 Overfull \hbox (1.99973pt too wide) detected at line 337 \T1/lmr/m/n/10 C.234 Overfull \hbox (1.99973pt too wide) detected at line 338 \T1/lmr/m/n/10 C.235 Overfull \hbox (1.99973pt too wide) detected at line 339 \T1/lmr/m/n/10 C.236 Overfull \hbox (1.99973pt too wide) detected at line 340 \T1/lmr/m/n/10 C.237 Overfull \hbox (1.99973pt too wide) detected at line 341 \T1/lmr/m/n/10 C.238 Overfull \hbox (1.99973pt too wide) detected at line 342 \T1/lmr/m/n/10 C.239 Overfull \hbox (1.99973pt too wide) detected at line 343 \T1/lmr/m/n/10 C.240 Overfull \hbox (1.99973pt too wide) detected at line 344 \T1/lmr/m/n/10 C.241 Overfull \hbox (1.99973pt too wide) detected at line 345 \T1/lmr/m/n/10 C.242 Overfull \hbox (1.99973pt too wide) detected at line 346 \T1/lmr/m/n/10 C.243 Overfull \hbox (1.99973pt too wide) detected at line 347 \T1/lmr/m/n/10 C.244 Overfull \hbox (1.99973pt too wide) detected at line 348 \T1/lmr/m/n/10 C.245 Overfull \hbox (1.99973pt too wide) detected at line 349 \T1/lmr/m/n/10 C.246 Overfull \hbox (1.99973pt too wide) detected at line 350 \T1/lmr/m/n/10 C.247 [13]) [14] (./CHAPTER_Intro.tex Chapter 1. Overfull \hbox (11.44028pt too wide) in paragraph at lines 26--33 []\T1/lmr/m/n/10 In re-cent work on het-ero-ge-neous coarse-grain re-con-fig-ur able logic [[]] the need for a cus-tom application- LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 52. [15] LaTeX Warning: Reference `chapter:eval' on page 16 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 16 undefined on input line 96. ) [16] (./CHAPTER_Basics.tex Chapter 2. [17] (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 18 undefined on input line 139. [18] LaTeX Font Warning: Font shape `T1/zi4/m/it' undefined (Font) using `T1/zi4/m/n' instead on input line 148. [19] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [20] [21] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 22 undefined on inp ut line 363. [22] LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 23 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 23 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 23 undefined on input line 391. [23] [24] [25] [26]) [27] (./CHAPTER_Approach.tex Chapter 3. [28] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) [29]) [30] (./CHAPTER_Overview.tex Chapter 4. [31] [32] [33] [34] (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) Overfull \hbox (20.05457pt too wide) in paragraph at lines 292--294 []\T1/lmr/m/n/10 The RTLIL::SigSpec data type is used to rep-re-sent sig-nals. The RTLIL::Cell ob-ject con-tains one RTLIL::SigSpec [35] Overfull \hbox (8.67525pt too wide) in paragraph at lines 350--352 []\T1/lmr/m/n/10 An RTLIL::Process is a con-tainer for zero or more RTLIL::Sync Rule ob-jects and ex-actly one RTLIL::CaseRule Overfull \hbox (1.83148pt too wide) in paragraph at lines 358--361 \T1/lmr/m/n/10 RTLIL::SwitchRule ob-jects. An RTLIL::SwitchRule ob-jects is a c on-tainer for zero or more RTLIL::CaseRule [36] [37] [38] [39]) [40] (./CHAPTER_CellLib.tex Chapter 5. [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54]) [55] (./CHAPTER_Prog.tex Chapter 6. (../guidelines/GettingStarted [56] [57] [58] [59] (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmtt.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmtt.fd) [60]) (../guidelines/CodingStyle) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/stubnets.cc [61] [62] LaTeX Font Warning: Font shape `TS1/zi4/m/it' undefined (Font) using `TS1/zi4/m/n' instead (Font) for symbol `textquotesingle' on input line 74. [63]) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile) (./CHAPTER_Prog/test.v)) [64] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Font Warning: Font shape `T1/cmtt/b/n' undefined (Font) using `T1/cmtt/m/n' instead on input line 83. LaTeX Font Warning: Font shape `TS1/cmtt/b/n' undefined (Font) using `TS1/cmtt/m/n' instead (Font) for symbol `textasciigrave' on input line 83. [65] Underfull \hbox (badness 1558) in paragraph at lines 106--113 []\T1/lmr/m/n/10 Finally the lexer iden-ti-fies and han-dles spe-cial com-ments such as ``[][][][][][][]'' and [66] [67] [68] [69] [70] [71] Overfull \hbox (10.61266pt too wide) in paragraph at lines 669--673 []\T1/lmr/m/n/10 The right-hand-side is eval-u-ated us-ing [][][][][][][][]. Fo r this call, the val-ues of [][][] Underfull \hbox (badness 3460) in paragraph at lines 705--710 []\T1/lmr/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the se-lec-tion ex-pr es-sion is eval-u-ated us-ing Underfull \hbox (badness 2626) in paragraph at lines 713--716 []\T1/lmr/m/n/10 New tem-po-rary sig-nals are gen-er-ated for all sig-nals in [ ][][] and stored in [72] [73]) [74] (./CHAPTER_Optimize.tex Chapter 8. [75] [76] [77] [78] [79]) [80] (./CHAPTER_Techmap.tex Chapter 9. [81]) [82] (./CHAPTER_Auxlibs.tex Appendix A. ) [83] (./CHAPTER_Auxprogs.tex Appendix B. ) [84] Appendix C. (./command-reference-manual.tex [85] [86] [87] [88] [89] Overfull \hbox (19.07178pt too wide) in paragraph at lines 289--290 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 290--291 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 291--292 [][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 292--293 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 294--295 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 295--296 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 296--297 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 297--298 [][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (86.27267pt too wide) in paragraph at lines 324--325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (158.27364pt too wide) in paragraph at lines 325--326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] Overfull \hbox (41.82802pt too wide) in paragraph at lines 1039--1039 []\T1/lmr/bx/n/14.4 coolrunner2_fixup -- in-sert nec-es-sary buffer cells for C oolRunner- Overfull \hbox (32.63736pt too wide) in paragraph at lines 1047--1047 []\T1/lmr/bx/n/14.4 coolrunner2_sop -- break $sop cells into ANDTER-M/ORTERM [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] Overfull \hbox (86.27267pt too wide) in paragraph at lines 2828--2830 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] [138] [139] [140] [141] [142] [143] [144] [145] [146] Overfull \hbox (18.4983pt too wide) in paragraph at lines 3345--3345 []\T1/lmr/bx/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equ iv- [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] Overfull \hbox (1.04004pt too wide) in paragraph at lines 5526--5526 []\T1/lmr/bx/n/14.4 synth_achronix -- syn-the-sis for Acrhonix Speed-ster22i FP - [188] [189] [190] Overfull \hbox (100.67287pt too wide) in paragraph at lines 5650--5651 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] [191] [192] Overfull \hbox (163.0737pt too wide) in paragraph at lines 5764--5765 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [193] [194] [195] Overfull \hbox (9.47165pt too wide) in paragraph at lines 5945--5946 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 5953--5954 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (551.87889pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [196] [197] Overfull \hbox (43.0721pt too wide) in paragraph at lines 6070--6071 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] [198] [199] [200] Overfull \hbox (95.8728pt too wide) in paragraph at lines 6205--6206 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [201] [202] Overfull \hbox (81.47261pt too wide) in paragraph at lines 6324--6325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 6336--6338 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (196.67415pt too wide) in paragraph at lines 6340--6341 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [203] [204] Overfull \hbox (273.47517pt too wide) in paragraph at lines 6439--6440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [205] [206] [207] Overfull \hbox (431.87729pt too wide) in paragraph at lines 6565--6566 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 6579--6580 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (345.47614pt too wide) in paragraph at lines 6596--6597 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][] [208] [209] [210] [211] [212] Overfull \hbox (225.47453pt too wide) in paragraph at lines 6831--6832 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] [213] [214] Overfull \hbox (100.67287pt too wide) in paragraph at lines 6941--6942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (297.4755pt too wide) in paragraph at lines 6951--6952 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] Overfull \hbox (119.87312pt too wide) in paragraph at lines 6952--6953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] [215] [216] Overfull \hbox (259.07498pt too wide) in paragraph at lines 7080--7081 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.27203pt too wide) in paragraph at lines 7090--7091 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] [217] Overfull \hbox (331.07594pt too wide) in paragraph at lines 7096--7097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [218] Overfull \hbox (398.27684pt too wide) in paragraph at lines 7189--7190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][] [219] [220] [221] Overfull \hbox (47.87216pt too wide) in paragraph at lines 7321--7322 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 7322--7323 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [222] [223] [224] Overfull \hbox (28.6719pt too wide) in paragraph at lines 7495--7496 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (86.27267pt too wide) in paragraph at lines 7499--7500 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] Overfull \hbox (100.67287pt too wide) in paragraph at lines 7504--7505 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 7510--7511 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] Overfull \hbox (4.67159pt too wide) in paragraph at lines 8705--8706 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [248] [249] [250] [251] [252] [253] [254] [255] [256] [257] [258] [259] [260]) [261] (./CHAPTER_TextRtlil.tex Appendix D. [262] [263] [264] [265] [266]) [267] (./CHAPTER_Appnotes.tex Appendix E. [268] [269 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [270 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [271 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [272 <./APPNOTE_011_Design _Investigation.pdf>] [273 <./APPNOTE_011_Design_Investigation.pdf>] [274 <./APP NOTE_011_Design_Investigation.pdf>] [275 <./APPNOTE_011_Design_Investigation.pd f>] [276 <./APPNOTE_011_Design_Investigation.pdf>] [277 <./APPNOTE_011_Design_I nvestigation.pdf>] [278 <./APPNOTE_011_Design_Investigation.pdf>] [279 <./APPNO TE_011_Design_Investigation.pdf>] [280 <./APPNOTE_011_Design_Investigation.pdf> ] [281 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [282 <./APPNOTE_012_Verilog_to_BTOR .pdf>] [283 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [284]) (./weblink.bbl [285]) [286] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux)) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/lm/lm-ec.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc} {/usr/share/texlive/texmf-dist/fonts/en c/dvips/inconsolata/i4-ts1.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.e nc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}{/usr/share/texmf/fonts/enc/d vips/lm/lm-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i 4-t1-0.enc} Output written on manual.pdf (286 pages, 1874684 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_TextRtlil.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 314, line 6 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error '\pdfinfo{/CreationDate(D:20221203221520Z)/ModDate(D:20221203221520Z)}\input{manual.tex}' This is pdfTeX, Version 3.141592653-2.6-1.40.24 (TeX Live 2022/Debian) (preloaded format=pdflatex) \write18 enabled. entering extended mode LaTeX2e <2022-11-01> patch level 1 L3 programming layer <2023-01-16> (./manual.tex (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2022/07/02 v1.4n Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texmf/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/inconsolata.sty `inconsolata-zi4' v1.12, 2019/05/17 Text macros for Inconsolata (msharpe) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex))))) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf)) (/usr/share/texlive/texmf-dist/tex/generic/babel/locale/en/babel-english.tex) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/mathcolor.ltx)) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/latex/kvsetkeys/kvsetkeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/latex/base/atveryend-ltx.sty) (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)))) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/atbegshi-ltx.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeyslibraryfiltered .code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/mdwtools/syntax.sty) (./weblink.aux) Writing index file manual.idx (/usr/share/texmf/tex/latex/lm/t1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdftex.def) (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/pdflscape/pdflscape-nometadata.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty))) (./manual.out) (./manual.out) [1{/var/lib/texmf/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texmf/tex/latex/lm/ot1lmr.fd) (/usr/share/texmf/tex/latex/lm/omllmm.fd) (/usr/share/texmf/tex/latex/lm/omslmsy.fd) (/usr/share/texmf/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] Overfull \hbox (1.99973pt too wide) detected at line 203 \T1/lmr/m/n/10 C.100 Overfull \hbox (1.99973pt too wide) detected at line 204 \T1/lmr/m/n/10 C.101 Overfull \hbox (1.99973pt too wide) detected at line 205 \T1/lmr/m/n/10 C.102 Overfull \hbox (1.99973pt too wide) detected at line 206 \T1/lmr/m/n/10 C.103 Overfull \hbox (1.99973pt too wide) detected at line 207 \T1/lmr/m/n/10 C.104 Overfull \hbox (1.99973pt too wide) detected at line 208 \T1/lmr/m/n/10 C.105 Overfull \hbox (1.99973pt too wide) detected at line 209 \T1/lmr/m/n/10 C.106 Overfull \hbox (1.99973pt too wide) detected at line 210 \T1/lmr/m/n/10 C.107 Overfull \hbox (1.99973pt too wide) detected at line 211 \T1/lmr/m/n/10 C.108 [9] Overfull \hbox (1.99973pt too wide) detected at line 212 \T1/lmr/m/n/10 C.109 Overfull \hbox (1.99973pt too wide) detected at line 213 \T1/lmr/m/n/10 C.110 Overfull \hbox (1.99973pt too wide) detected at line 214 \T1/lmr/m/n/10 C.111 Overfull \hbox (1.99973pt too wide) detected at line 215 \T1/lmr/m/n/10 C.112 Overfull \hbox (1.99973pt too wide) detected at line 216 \T1/lmr/m/n/10 C.113 Overfull \hbox (1.99973pt too wide) detected at line 217 \T1/lmr/m/n/10 C.114 Overfull \hbox (1.99973pt too wide) detected at line 218 \T1/lmr/m/n/10 C.115 Overfull \hbox (1.99973pt too wide) detected at line 219 \T1/lmr/m/n/10 C.116 Overfull \hbox (1.99973pt too wide) detected at line 220 \T1/lmr/m/n/10 C.117 Overfull \hbox (1.99973pt too wide) detected at line 221 \T1/lmr/m/n/10 C.118 Overfull \hbox (1.99973pt too wide) detected at line 222 \T1/lmr/m/n/10 C.119 Overfull \hbox (1.99973pt too wide) detected at line 223 \T1/lmr/m/n/10 C.120 Overfull \hbox (1.99973pt too wide) detected at line 224 \T1/lmr/m/n/10 C.121 Overfull \hbox (1.99973pt too wide) detected at line 225 \T1/lmr/m/n/10 C.122 Overfull \hbox (1.99973pt too wide) detected at line 226 \T1/lmr/m/n/10 C.123 Overfull \hbox (1.99973pt too wide) detected at line 227 \T1/lmr/m/n/10 C.124 Overfull \hbox (1.99973pt too wide) detected at line 228 \T1/lmr/m/n/10 C.125 Overfull \hbox (1.99973pt too wide) detected at line 229 \T1/lmr/m/n/10 C.126 Overfull \hbox (1.99973pt too wide) detected at line 230 \T1/lmr/m/n/10 C.127 Overfull \hbox (1.99973pt too wide) detected at line 231 \T1/lmr/m/n/10 C.128 Overfull \hbox (1.99973pt too wide) detected at line 232 \T1/lmr/m/n/10 C.129 Overfull \hbox (1.99973pt too wide) detected at line 233 \T1/lmr/m/n/10 C.130 Overfull \hbox (1.99973pt too wide) detected at line 234 \T1/lmr/m/n/10 C.131 Overfull \hbox (1.99973pt too wide) detected at line 235 \T1/lmr/m/n/10 C.132 Overfull \hbox (1.99973pt too wide) detected at line 236 \T1/lmr/m/n/10 C.133 Overfull \hbox (1.99973pt too wide) detected at line 237 \T1/lmr/m/n/10 C.134 Overfull \hbox (1.99973pt too wide) detected at line 238 \T1/lmr/m/n/10 C.135 Overfull \hbox (1.99973pt too wide) detected at line 239 \T1/lmr/m/n/10 C.136 Overfull \hbox (1.99973pt too wide) detected at line 240 \T1/lmr/m/n/10 C.137 Overfull \hbox (1.99973pt too wide) detected at line 241 \T1/lmr/m/n/10 C.138 Overfull \hbox (1.99973pt too wide) detected at line 242 \T1/lmr/m/n/10 C.139 Overfull \hbox (1.99973pt too wide) detected at line 243 \T1/lmr/m/n/10 C.140 Overfull \hbox (1.99973pt too wide) detected at line 244 \T1/lmr/m/n/10 C.141 Overfull \hbox (1.99973pt too wide) detected at line 245 \T1/lmr/m/n/10 C.142 Overfull \hbox (1.99973pt too wide) detected at line 246 \T1/lmr/m/n/10 C.143 Overfull \hbox (1.99973pt too wide) detected at line 247 \T1/lmr/m/n/10 C.144 [10] Overfull \hbox (1.99973pt too wide) detected at line 248 \T1/lmr/m/n/10 C.145 Overfull \hbox (1.99973pt too wide) detected at line 249 \T1/lmr/m/n/10 C.146 Overfull \hbox (1.99973pt too wide) detected at line 250 \T1/lmr/m/n/10 C.147 Overfull \hbox (1.99973pt too wide) detected at line 251 \T1/lmr/m/n/10 C.148 Overfull \hbox (1.99973pt too wide) detected at line 252 \T1/lmr/m/n/10 C.149 Overfull \hbox (1.99973pt too wide) detected at line 253 \T1/lmr/m/n/10 C.150 Overfull \hbox (1.99973pt too wide) detected at line 254 \T1/lmr/m/n/10 C.151 Overfull \hbox (1.99973pt too wide) detected at line 255 \T1/lmr/m/n/10 C.152 Overfull \hbox (1.99973pt too wide) detected at line 256 \T1/lmr/m/n/10 C.153 Overfull \hbox (1.99973pt too wide) detected at line 257 \T1/lmr/m/n/10 C.154 Overfull \hbox (1.99973pt too wide) detected at line 258 \T1/lmr/m/n/10 C.155 Overfull \hbox (1.99973pt too wide) detected at line 259 \T1/lmr/m/n/10 C.156 Overfull \hbox (1.99973pt too wide) detected at line 260 \T1/lmr/m/n/10 C.157 Overfull \hbox (1.99973pt too wide) detected at line 261 \T1/lmr/m/n/10 C.158 Overfull \hbox (1.99973pt too wide) detected at line 262 \T1/lmr/m/n/10 C.159 Overfull \hbox (1.99973pt too wide) detected at line 263 \T1/lmr/m/n/10 C.160 Overfull \hbox (1.99973pt too wide) detected at line 264 \T1/lmr/m/n/10 C.161 Overfull \hbox (1.99973pt too wide) detected at line 265 \T1/lmr/m/n/10 C.162 Overfull \hbox (1.99973pt too wide) detected at line 266 \T1/lmr/m/n/10 C.163 Overfull \hbox (1.99973pt too wide) detected at line 267 \T1/lmr/m/n/10 C.164 Overfull \hbox (1.99973pt too wide) detected at line 268 \T1/lmr/m/n/10 C.165 Overfull \hbox (1.99973pt too wide) detected at line 269 \T1/lmr/m/n/10 C.166 Overfull \hbox (1.99973pt too wide) detected at line 270 \T1/lmr/m/n/10 C.167 Overfull \hbox (1.99973pt too wide) detected at line 271 \T1/lmr/m/n/10 C.168 Overfull \hbox (1.99973pt too wide) detected at line 272 \T1/lmr/m/n/10 C.169 Overfull \hbox (1.99973pt too wide) detected at line 273 \T1/lmr/m/n/10 C.170 Overfull \hbox (1.99973pt too wide) detected at line 274 \T1/lmr/m/n/10 C.171 Overfull \hbox (1.99973pt too wide) detected at line 275 \T1/lmr/m/n/10 C.172 Overfull \hbox (1.99973pt too wide) detected at line 276 \T1/lmr/m/n/10 C.173 Overfull \hbox (1.99973pt too wide) detected at line 277 \T1/lmr/m/n/10 C.174 Overfull \hbox (1.99973pt too wide) detected at line 278 \T1/lmr/m/n/10 C.175 Overfull \hbox (1.99973pt too wide) detected at line 279 \T1/lmr/m/n/10 C.176 Overfull \hbox (1.99973pt too wide) detected at line 280 \T1/lmr/m/n/10 C.177 Overfull \hbox (1.99973pt too wide) detected at line 281 \T1/lmr/m/n/10 C.178 Overfull \hbox (1.99973pt too wide) detected at line 282 \T1/lmr/m/n/10 C.179 Overfull \hbox (1.99973pt too wide) detected at line 283 \T1/lmr/m/n/10 C.180 [11] Overfull \hbox (1.99973pt too wide) detected at line 284 \T1/lmr/m/n/10 C.181 Overfull \hbox (1.99973pt too wide) detected at line 285 \T1/lmr/m/n/10 C.182 Overfull \hbox (1.99973pt too wide) detected at line 286 \T1/lmr/m/n/10 C.183 Overfull \hbox (1.99973pt too wide) detected at line 287 \T1/lmr/m/n/10 C.184 Overfull \hbox (1.99973pt too wide) detected at line 288 \T1/lmr/m/n/10 C.185 Overfull \hbox (1.99973pt too wide) detected at line 289 \T1/lmr/m/n/10 C.186 Overfull \hbox (1.99973pt too wide) detected at line 290 \T1/lmr/m/n/10 C.187 Overfull \hbox (1.99973pt too wide) detected at line 291 \T1/lmr/m/n/10 C.188 Overfull \hbox (1.99973pt too wide) detected at line 292 \T1/lmr/m/n/10 C.189 Overfull \hbox (1.99973pt too wide) detected at line 293 \T1/lmr/m/n/10 C.190 Overfull \hbox (1.99973pt too wide) detected at line 294 \T1/lmr/m/n/10 C.191 Overfull \hbox (1.99973pt too wide) detected at line 295 \T1/lmr/m/n/10 C.192 Overfull \hbox (1.99973pt too wide) detected at line 296 \T1/lmr/m/n/10 C.193 Overfull \hbox (1.99973pt too wide) detected at line 297 \T1/lmr/m/n/10 C.194 Overfull \hbox (1.99973pt too wide) detected at line 298 \T1/lmr/m/n/10 C.195 Overfull \hbox (1.99973pt too wide) detected at line 299 \T1/lmr/m/n/10 C.196 Overfull \hbox (1.99973pt too wide) detected at line 300 \T1/lmr/m/n/10 C.197 Overfull \hbox (1.99973pt too wide) detected at line 301 \T1/lmr/m/n/10 C.198 Overfull \hbox (1.99973pt too wide) detected at line 302 \T1/lmr/m/n/10 C.199 Overfull \hbox (1.99973pt too wide) detected at line 303 \T1/lmr/m/n/10 C.200 Overfull \hbox (1.99973pt too wide) detected at line 304 \T1/lmr/m/n/10 C.201 Overfull \hbox (1.99973pt too wide) detected at line 305 \T1/lmr/m/n/10 C.202 Overfull \hbox (1.99973pt too wide) detected at line 306 \T1/lmr/m/n/10 C.203 Overfull \hbox (1.99973pt too wide) detected at line 307 \T1/lmr/m/n/10 C.204 Overfull \hbox (1.99973pt too wide) detected at line 308 \T1/lmr/m/n/10 C.205 Overfull \hbox (1.99973pt too wide) detected at line 309 \T1/lmr/m/n/10 C.206 Overfull \hbox (1.99973pt too wide) detected at line 310 \T1/lmr/m/n/10 C.207 Overfull \hbox (1.99973pt too wide) detected at line 311 \T1/lmr/m/n/10 C.208 Overfull \hbox (1.99973pt too wide) detected at line 312 \T1/lmr/m/n/10 C.209 Overfull \hbox (1.99973pt too wide) detected at line 313 \T1/lmr/m/n/10 C.210 Overfull \hbox (1.99973pt too wide) detected at line 314 \T1/lmr/m/n/10 C.211 Overfull \hbox (1.99973pt too wide) detected at line 315 \T1/lmr/m/n/10 C.212 Overfull \hbox (1.99973pt too wide) detected at line 316 \T1/lmr/m/n/10 C.213 Overfull \hbox (1.99973pt too wide) detected at line 317 \T1/lmr/m/n/10 C.214 Overfull \hbox (1.99973pt too wide) detected at line 318 \T1/lmr/m/n/10 C.215 Overfull \hbox (1.99973pt too wide) detected at line 319 \T1/lmr/m/n/10 C.216 [12] Overfull \hbox (1.99973pt too wide) detected at line 320 \T1/lmr/m/n/10 C.217 Overfull \hbox (1.99973pt too wide) detected at line 321 \T1/lmr/m/n/10 C.218 Overfull \hbox (1.99973pt too wide) detected at line 322 \T1/lmr/m/n/10 C.219 Overfull \hbox (1.99973pt too wide) detected at line 323 \T1/lmr/m/n/10 C.220 Overfull \hbox (1.99973pt too wide) detected at line 324 \T1/lmr/m/n/10 C.221 Overfull \hbox (1.99973pt too wide) detected at line 325 \T1/lmr/m/n/10 C.222 Overfull \hbox (1.99973pt too wide) detected at line 326 \T1/lmr/m/n/10 C.223 Overfull \hbox (1.99973pt too wide) detected at line 327 \T1/lmr/m/n/10 C.224 Overfull \hbox (1.99973pt too wide) detected at line 328 \T1/lmr/m/n/10 C.225 Overfull \hbox (1.99973pt too wide) detected at line 329 \T1/lmr/m/n/10 C.226 Overfull \hbox (1.99973pt too wide) detected at line 330 \T1/lmr/m/n/10 C.227 Overfull \hbox (1.99973pt too wide) detected at line 331 \T1/lmr/m/n/10 C.228 Overfull \hbox (1.99973pt too wide) detected at line 332 \T1/lmr/m/n/10 C.229 Overfull \hbox (1.99973pt too wide) detected at line 333 \T1/lmr/m/n/10 C.230 Overfull \hbox (1.99973pt too wide) detected at line 334 \T1/lmr/m/n/10 C.231 Overfull \hbox (1.99973pt too wide) detected at line 335 \T1/lmr/m/n/10 C.232 Overfull \hbox (1.99973pt too wide) detected at line 336 \T1/lmr/m/n/10 C.233 Overfull \hbox (1.99973pt too wide) detected at line 337 \T1/lmr/m/n/10 C.234 Overfull \hbox (1.99973pt too wide) detected at line 338 \T1/lmr/m/n/10 C.235 Overfull \hbox (1.99973pt too wide) detected at line 339 \T1/lmr/m/n/10 C.236 Overfull \hbox (1.99973pt too wide) detected at line 340 \T1/lmr/m/n/10 C.237 Overfull \hbox (1.99973pt too wide) detected at line 341 \T1/lmr/m/n/10 C.238 Overfull \hbox (1.99973pt too wide) detected at line 342 \T1/lmr/m/n/10 C.239 Overfull \hbox (1.99973pt too wide) detected at line 343 \T1/lmr/m/n/10 C.240 Overfull \hbox (1.99973pt too wide) detected at line 344 \T1/lmr/m/n/10 C.241 Overfull \hbox (1.99973pt too wide) detected at line 345 \T1/lmr/m/n/10 C.242 Overfull \hbox (1.99973pt too wide) detected at line 346 \T1/lmr/m/n/10 C.243 Overfull \hbox (1.99973pt too wide) detected at line 347 \T1/lmr/m/n/10 C.244 Overfull \hbox (1.99973pt too wide) detected at line 348 \T1/lmr/m/n/10 C.245 Overfull \hbox (1.99973pt too wide) detected at line 349 \T1/lmr/m/n/10 C.246 Overfull \hbox (1.99973pt too wide) detected at line 350 \T1/lmr/m/n/10 C.247 [13]) [14] (./CHAPTER_Intro.tex Chapter 1. Overfull \hbox (11.44028pt too wide) in paragraph at lines 26--33 []\T1/lmr/m/n/10 In re-cent work on het-ero-ge-neous coarse-grain re-con-fig-ur able logic [[]] the need for a cus-tom application- LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 52. [15] LaTeX Warning: Reference `chapter:eval' on page 16 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 16 undefined on input line 96. ) [16] (./CHAPTER_Basics.tex Chapter 2. [17] (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/t1zi4.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 18 undefined on input line 139. [18] LaTeX Font Warning: Font shape `T1/zi4/m/it' undefined (Font) using `T1/zi4/m/n' instead on input line 148. [19] (/usr/share/texmf/tex/latex/lm/ts1lmr.fd) [20] [21] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 22 undefined on inp ut line 363. [22] LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 23 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 23 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 23 undefined on input line 391. [23] [24] [25] [26]) [27] (./CHAPTER_Approach.tex Chapter 3. [28] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) [29]) [30] (./CHAPTER_Overview.tex Chapter 4. [31] [32] [33] [34] (/usr/share/texlive/texmf-dist/tex/latex/inconsolata/ts1zi4.fd) Overfull \hbox (20.05457pt too wide) in paragraph at lines 292--294 []\T1/lmr/m/n/10 The RTLIL::SigSpec data type is used to rep-re-sent sig-nals. The RTLIL::Cell ob-ject con-tains one RTLIL::SigSpec [35] Overfull \hbox (8.67525pt too wide) in paragraph at lines 350--352 []\T1/lmr/m/n/10 An RTLIL::Process is a con-tainer for zero or more RTLIL::Sync Rule ob-jects and ex-actly one RTLIL::CaseRule Overfull \hbox (1.83148pt too wide) in paragraph at lines 358--361 \T1/lmr/m/n/10 RTLIL::SwitchRule ob-jects. An RTLIL::SwitchRule ob-jects is a c on-tainer for zero or more RTLIL::CaseRule [36] [37] [38] [39]) [40] (./CHAPTER_CellLib.tex Chapter 5. [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54]) [55] (./CHAPTER_Prog.tex Chapter 6. (../guidelines/GettingStarted [56] [57] [58] [59] (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmtt.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmtt.fd) [60]) (../guidelines/CodingStyle) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/stubnets.cc [61] [62] LaTeX Font Warning: Font shape `TS1/zi4/m/it' undefined (Font) using `TS1/zi4/m/n' instead (Font) for symbol `textquotesingle' on input line 74. [63]) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile) (./CHAPTER_Prog/test.v)) [64] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Font Warning: Font shape `T1/cmtt/b/n' undefined (Font) using `T1/cmtt/m/n' instead on input line 83. LaTeX Font Warning: Font shape `TS1/cmtt/b/n' undefined (Font) using `TS1/cmtt/m/n' instead (Font) for symbol `textasciigrave' on input line 83. [65] Underfull \hbox (badness 1558) in paragraph at lines 106--113 []\T1/lmr/m/n/10 Finally the lexer iden-ti-fies and han-dles spe-cial com-ments such as ``[][][][][][][]'' and [66] [67] [68] [69] [70] [71] Overfull \hbox (10.61266pt too wide) in paragraph at lines 669--673 []\T1/lmr/m/n/10 The right-hand-side is eval-u-ated us-ing [][][][][][][][]. Fo r this call, the val-ues of [][][] Underfull \hbox (badness 3460) in paragraph at lines 705--710 []\T1/lmr/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the se-lec-tion ex-pr es-sion is eval-u-ated us-ing Underfull \hbox (badness 2626) in paragraph at lines 713--716 []\T1/lmr/m/n/10 New tem-po-rary sig-nals are gen-er-ated for all sig-nals in [ ][][] and stored in [72] [73]) [74] (./CHAPTER_Optimize.tex Chapter 8. [75] [76] [77] [78] [79]) [80] (./CHAPTER_Techmap.tex Chapter 9. [81]) [82] (./CHAPTER_Auxlibs.tex Appendix A. ) [83] (./CHAPTER_Auxprogs.tex Appendix B. ) [84] Appendix C. (./command-reference-manual.tex [85] [86] [87] [88] [89] Overfull \hbox (19.07178pt too wide) in paragraph at lines 289--290 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 290--291 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 291--292 [][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 292--293 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 294--295 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 295--296 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 296--297 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07178pt too wide) in paragraph at lines 297--298 [][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (86.27267pt too wide) in paragraph at lines 324--325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (158.27364pt too wide) in paragraph at lines 325--326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] Overfull \hbox (41.82802pt too wide) in paragraph at lines 1039--1039 []\T1/lmr/bx/n/14.4 coolrunner2_fixup -- in-sert nec-es-sary buffer cells for C oolRunner- Overfull \hbox (32.63736pt too wide) in paragraph at lines 1047--1047 []\T1/lmr/bx/n/14.4 coolrunner2_sop -- break $sop cells into ANDTER-M/ORTERM [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115] [116] [117] [118] [119] [120] [121] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [132] [133] [134] [135] [136] [137] Overfull \hbox (86.27267pt too wide) in paragraph at lines 2828--2830 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] [138] [139] [140] [141] [142] [143] [144] [145] [146] Overfull \hbox (18.4983pt too wide) in paragraph at lines 3345--3345 []\T1/lmr/bx/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equ iv- [147] [148] [149] [150] [151] [152] [153] [154] [155] [156] [157] [158] [159] [160] [161] [162] [163] [164] [165] [166] [167] [168] [169] [170] [171] [172] [173] [174] [175] [176] [177] [178] [179] [180] [181] [182] [183] [184] [185] [186] [187] Overfull \hbox (1.04004pt too wide) in paragraph at lines 5526--5526 []\T1/lmr/bx/n/14.4 synth_achronix -- syn-the-sis for Acrhonix Speed-ster22i FP - [188] [189] [190] Overfull \hbox (100.67287pt too wide) in paragraph at lines 5650--5651 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] [191] [192] Overfull \hbox (163.0737pt too wide) in paragraph at lines 5764--5765 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [193] [194] [195] Overfull \hbox (9.47165pt too wide) in paragraph at lines 5945--5946 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 5953--5954 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (551.87889pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 5968--5969 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [196] [197] Overfull \hbox (43.0721pt too wide) in paragraph at lines 6070--6071 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] [198] [199] [200] Overfull \hbox (95.8728pt too wide) in paragraph at lines 6205--6206 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [201] [202] Overfull \hbox (81.47261pt too wide) in paragraph at lines 6324--6325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (71.87248pt too wide) in paragraph at lines 6336--6338 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (196.67415pt too wide) in paragraph at lines 6340--6341 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [203] [204] Overfull \hbox (273.47517pt too wide) in paragraph at lines 6439--6440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [205] [206] [207] Overfull \hbox (431.87729pt too wide) in paragraph at lines 6565--6566 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 6579--6580 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][] Overfull \hbox (345.47614pt too wide) in paragraph at lines 6596--6597 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][] [208] [209] [210] [211] [212] Overfull \hbox (225.47453pt too wide) in paragraph at lines 6831--6832 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] [213] [214] Overfull \hbox (100.67287pt too wide) in paragraph at lines 6941--6942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][] Overfull \hbox (297.4755pt too wide) in paragraph at lines 6951--6952 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][] Overfull \hbox (119.87312pt too wide) in paragraph at lines 6952--6953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] [215] [216] Overfull \hbox (259.07498pt too wide) in paragraph at lines 7080--7081 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.27203pt too wide) in paragraph at lines 7090--7091 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] [217] Overfull \hbox (331.07594pt too wide) in paragraph at lines 7096--7097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [218] Overfull \hbox (398.27684pt too wide) in paragraph at lines 7189--7190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][] [219] [220] [221] Overfull \hbox (47.87216pt too wide) in paragraph at lines 7321--7322 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][] Overfull \hbox (105.47293pt too wide) in paragraph at lines 7322--7323 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][] [222] [223] [224] Overfull \hbox (28.6719pt too wide) in paragraph at lines 7495--7496 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (86.27267pt too wide) in paragraph at lines 7499--7500 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][] Overfull \hbox (100.67287pt too wide) in paragraph at lines 7504--7505 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][] Overfull \hbox (4.67159pt too wide) in paragraph at lines 7510--7511 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [225] [226] [227] [228] [229] [230] [231] [232] [233] [234] [235] [236] [237] [238] [239] [240] [241] [242] [243] [244] [245] [246] [247] Overfull \hbox (4.67159pt too wide) in paragraph at lines 8705--8706 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [248] [249] [250] [251] [252] [253] [254] [255] [256] [257] [258] [259] [260]) [261] (./CHAPTER_TextRtlil.tex Appendix D. [262] [263] [264] [265] [266]) [267] (./CHAPTER_Appnotes.tex Appendix E. [268] [269 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [270 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [271 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [272 <./APPNOTE_011_Design _Investigation.pdf>] [273 <./APPNOTE_011_Design_Investigation.pdf>] [274 <./APP NOTE_011_Design_Investigation.pdf>] [275 <./APPNOTE_011_Design_Investigation.pd f>] [276 <./APPNOTE_011_Design_Investigation.pdf>] [277 <./APPNOTE_011_Design_I nvestigation.pdf>] [278 <./APPNOTE_011_Design_Investigation.pdf>] [279 <./APPNO TE_011_Design_Investigation.pdf>] [280 <./APPNOTE_011_Design_Investigation.pdf> ] [281 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [282 <./APPNOTE_012_Verilog_to_BTOR .pdf>] [283 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [284]) (./weblink.bbl [285]) [286] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_TextRtlil.aux) (./CHAPTER_Appnotes.aux)) LaTeX Font Warning: Some font shapes were not available, defaults substituted. LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texmf/fonts/enc /dvips/lm/lm-ec.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathsy.enc} {/usr/share/texlive/texmf-dist/fonts/en c/dvips/inconsolata/i4-ts1.enc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-mathit.e nc}{/usr/share/texmf/fonts/enc/dvips/lm/lm-rm.enc}{/usr/share/texmf/fonts/enc/d vips/lm/lm-ts1.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/inconsolata/i 4-t1-0.enc} Output written on manual.pdf (286 pages, 1874684 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_TextRtlil.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new + grep -av '^/ID \[\(<[0-9A-F]\{32\}>\) \1]$' manual.pdf + mv -f manual.pdf.without_pdf_id manual.pdf make[2]: Leaving directory '/build/yosys-0.23' make[1]: Leaving directory '/build/yosys-0.23' debian/rules override_dh_auto_test make[1]: Entering directory '/build/yosys-0.23' dh_auto_test make -j3 test make[2]: Entering directory '/build/yosys-0.23' [Makefile.conf] CONFIG := gcc [Makefile.conf] ABCEXTERNAL=berkeley-abc [Makefile.conf] ABCPULL=0 [Makefile.conf] STRIP=: cd tests/simple && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/simple' + gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c + gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c + gcc -Wall -g -O2 -ffile-prefix-map=/build/yosys-0.23=. -fstack-protector-strong -Wformat -Werror=format-security -o /build/yosys-0.23/tests/tools/cmp_tbdata /build/yosys-0.23/tests/tools/cmp_tbdata.c Test: case_expr_extend -> ok Test: case_expr_query -> ok Test: arrays02 -> ok Test: implicit_ports -> ok Test: defvalue -> ok Test: lesser_size_cast -> ok Test: local_loop_var -> ok Test: matching_end_labels -> ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok Test: macro_arg_spaces -> ok Test: always01 -> ok Test: aes_kexp128 -> ok Test: always02 -> ok Test: asgn_binop -> ok Test: always03 -> ok Test: arrays01 -> ok Test: arraycells -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: case_expr_const -> ok Test: carryadd -> ok Test: case_expr_non_const -> ok Test: const_branch_finish -> ok Test: const_fold_func -> ok Test: const_func_shadow -> ok Test: constpower -> ok Test: constmuldivmod -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: dynslice -> ok Test: fsm -> ok Test: func_block -> ok Test: func_recurse -> ok Test: genblk_collide -> ok Test: genblk_dive -> ok Test: func_width_scope -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: case_large -> ok Test: ifdef_1 -> ok Test: i2c_master_tests -> ok Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: macro_arg_surrounding_spaces -> ok Test: loops -> ok Test: macros -> ok Test: mem2reg_bounds_tern -> ok Test: generate -> ok Test: mem2reg -> ok Test: mem_arst -> ok Test: module_scope_case -> ok Test: module_scope -> ok Test: muxtree -> ok Test: named_genblk -> ok Test: nested_genblk_resolve -> ok Test: multiplier -> ok Test: omsp_dbg_uart -> ok Test: param_attr -> ok Test: paramods -> ok Test: operators -> ok Test: process -> ok Test: realexpr -> ok Test: memory -> ok Test: partsel -> ok Test: retime -> ok Test: repwhile -> ok Test: scopes -> ok Test: signed_full_slice -> ok Test: signedexpr -> ok Test: specify -> ok Test: string_format -> ok Test: subbytes -> ok Test: sincos -> ok Test: undef_eqx_nex -> ok Test: task_func -> ok Test: usb_phy_tests -> ok Test: verilog_primitives -> ok Test: values -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: rotate -> ok Test: wreduce -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/simple' cd tests/simple_abc9 && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/simple_abc9' Test: always01 -> ok Test: aes_kexp128 -> ok Test: always02 -> ok Test: always03 -> ok Test: arrays01 -> ok Test: arraycells -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib04_net_var -> ok Test: attrib03_parameter -> ok Test: attrib08_mod_inst -> ok Test: attrib06_operator_suffix -> ok Test: attrib09_case -> ok Test: case_expr_const -> ok Test: carryadd -> ok Test: case_expr_non_const -> ok Test: const_branch_finish -> ok Test: const_fold_func -> ok Test: abc9 -> ok Test: const_func_shadow -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: constmuldivmod -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: func_block -> ok Test: func_recurse -> ok Test: func_width_scope -> ok Test: genblk_collide -> ok Test: genblk_dive -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: ifdef_1 -> ok Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: loops -> ok Test: macro_arg_surrounding_spaces -> ok Test: dynslice -> ok Test: macros -> ok Test: mem2reg_bounds_tern -> ok Test: mem2reg -> ok Test: mem_arst -> ok Test: module_scope -> ok Test: module_scope_case -> ok Test: multiplier -> ok Test: muxtree -> ok Test: named_genblk -> ok Test: case_large -> ok Test: nested_genblk_resolve -> ok Test: omsp_dbg_uart -> ok Test: param_attr -> ok Test: paramods -> ok Test: memory -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signed_full_slice -> ok Test: signedexpr -> ok Test: partsel -> ok Test: string_format -> ok Test: subbytes -> ok Test: operators -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: task_func -> ok Test: verilog_primitives -> ok Test: values -> ok Test: wandwor -> ok Test: vloghammer -> ok Test: arrays02 -> ok Test: sincos -> ok Test: case_expr_extend -> ok Test: case_expr_query -> ok Test: defvalue -> ok Test: implicit_ports -> ok Test: asgn_binop -> ok Test: lesser_size_cast -> ok Test: local_loop_var -> ok Test: matching_end_labels -> ok Test: wreduce -> ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok Test: macro_arg_spaces -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/simple_abc9' cd tests/hana && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/hana' Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_and -> ok Test: test_simulation_always -> ok Test: test_simulation_buffer -> ok Test: test_simulation_inc -> ok Test: test_simulation_decoder -> ok Test: test_simulation_nand -> ok Test: test_simulation_nor -> ok Test: test_intermout -> ok Test: test_simulation_mux -> ok Test: test_simulation_seq -> ok Test: test_simulation_or -> ok Test: test_simulation_sop -> ok Test: test_simulation_techmap -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_shifter -> ok Test: test_simulation_xor -> ok Test: test_simulation_techmap_tech -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/hana' cd tests/asicworld && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/asicworld' Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_hdl_models_uart -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_hdl_models_cam -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_which_clock -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/asicworld' #+cd tests/realmath && bash run-test.sh "" cd tests/share && bash run-test.sh "" generating tests.. running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/opt_share && bash run-test.sh "" generating tests.. running tests.. make[3]: Entering directory '/build/yosys-0.23/tests/opt_share' [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[3]: Leaving directory '/build/yosys-0.23/tests/opt_share' cd tests/fsm && bash run-test.sh "" generating tests.. PRNG seed: 202873736 running tests.. make[3]: Entering directory '/build/yosys-0.23/tests/fsm' [0][1][2]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[3]K[4]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[5]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[7]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[8]K[9]K[10]K[11]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[13]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[16]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[17]K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[19]K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[24]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[25]K[26]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[27]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[29]K[30]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[31]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[32]K[33]K[34]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[37]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[38]K[39]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[40]K[41]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[42]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[43]K[44]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[45]K[46]K[47]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[48]K[49]KKT make[3]: Leaving directory '/build/yosys-0.23/tests/fsm' cd tests/techmap && bash run-test.sh make[3]: Entering directory '/build/yosys-0.23/tests/techmap' Warning: wire '\Q' is assigned in a block at < ok Test: firrtl_938 -> ok Test: amber23_sram_byte_en -> ok Test: no_implicit_en -> ok Test: issue00710 -> ok Test: issue00335 -> ok Test: read_arst -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Test: trans_addr_enable -> ok Test: trans_sdp -> ok Test: trans_sp -> ok Test: wide_all -> ok Test: wide_read_async -> ok Test: wide_read_mixed -> ok Test: wide_read_sync -> ok Test: wide_thru_priority -> ok Test: wide_read_trans -> ok Test: wide_write -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/memories' Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_arst.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. Testing expectations for trans_addr_enable.v .. ok. Testing expectations for trans_sdp.v .. ok. Testing expectations for trans_sp.v .. ok. Testing expectations for wide_all.v .. ok. Testing expectations for wide_read_async.v .. ok. Testing expectations for wide_read_mixed.v .. ok. Testing expectations for wide_read_sync.v .. ok. Testing expectations for wide_read_trans.v .. ok. Testing expectations for wide_thru_priority.v .. ok. Testing expectations for wide_write.v .. ok. cd tests/memlib && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/memlib' Test: t_async_small -> ok Test: t_async_small_block -> ok Test: t_sync_big -> ok Test: t_sync_big_sdp -> ok Test: t_async_big -> ok Test: t_sync_small -> ok Test: t_sync_small_block -> ok Test: t_sync_small_block_attr -> ok Test: t_tdp -> ok Test: t_sync_2clk -> ok Test: t_sync_shared -> ok Test: t_sync_big_lut -> ok Test: t_sync_trans_old_old -> ok Test: t_sync_trans_old_new -> ok Test: t_sync_2clk_shared -> ok Test: t_sync_trans_old_none -> ok Test: t_sync_trans_new_old -> ok Test: t_sync_trans_new_new -> ok Test: t_sync_trans_new_none -> ok Test: t_sp_nc_none -> ok Test: t_sp_new_none -> ok Test: t_sp_nc_nc -> ok Test: t_sp_old_none -> ok Test: t_sp_new_nc -> ok Test: t_sp_old_nc -> ok Test: t_sp_nc_new -> ok Test: t_sp_new_new -> ok Test: t_sp_old_new -> ok Test: t_sp_nc_old -> ok Test: t_sp_new_old -> ok Test: t_sp_old_old -> ok Test: t_sp_nc_new_only -> ok Test: t_sp_new_new_only -> ok Test: t_sp_old_new_only -> ok Test: t_sp_nc_new_only_be -> ok Test: t_sp_new_new_only_be -> ok Test: t_sp_old_new_only_be -> ok Test: t_sp_nc_new_be -> ok Test: t_sp_new_new_be -> ok Test: t_sp_old_new_be -> ok Test: t_sp_nc_old_be -> ok Test: t_sp_new_old_be -> ok Test: t_sp_old_old_be -> ok Test: t_sp_nc_nc_be -> ok Test: t_sp_new_nc_be -> ok Test: t_sp_nc_auto -> ok Test: t_sp_old_nc_be -> ok Test: t_sp_new_auto -> ok Test: t_sp_old_auto -> ok Test: t_sp_nc_auto_be -> ok Test: t_sp_new_auto_be -> ok Test: t_sp_old_auto_be -> ok Test: t_sp_init_x_x -> ok Test: t_sp_init_x_x_re -> ok Test: t_sp_init_x_x_ce -> ok Test: t_sp_init_0_x -> ok Test: t_sp_init_0_x_re -> ok Test: t_async_big_block -> ok Test: t_sp_init_0_0 -> ok Test: t_sp_init_0_0_re -> ok Test: t_sp_init_0_any_re -> ok Test: t_sp_init_0_any -> ok Test: t_sp_init_v_x -> ok Test: t_sp_init_v_0 -> ok Test: t_sp_init_v_x_re -> ok Test: t_sp_init_v_0_re -> ok Test: t_sp_init_v_any_re -> ok Test: t_sp_init_v_any -> ok Test: t_sp_arst_x_x -> ok Test: t_sp_arst_x_x_re -> ok Test: t_sp_arst_0_x -> ok Test: t_sp_arst_0_x_re -> ok Test: t_sp_arst_0_0 -> ok Test: t_sp_arst_0_0_re -> ok Test: t_sp_arst_0_any -> ok Test: t_sp_arst_0_any_re -> ok Test: t_sp_arst_0_init -> ok Test: t_sp_arst_0_init_re -> ok Test: t_sp_arst_v_x -> ok Test: t_sp_arst_v_x_re -> ok Test: t_sp_arst_v_0 -> ok Test: t_sp_arst_v_0_re -> ok Test: t_sp_arst_v_any -> ok Test: t_sp_arst_v_any_re -> ok Test: t_sp_arst_v_init -> ok Test: t_sp_arst_v_init_re -> ok Test: t_sp_arst_e_x -> ok Test: t_sp_arst_e_x_re -> ok Test: t_sp_arst_e_0 -> ok Test: t_sp_arst_e_0_re -> ok Test: t_sp_arst_e_any -> ok Test: t_sp_arst_e_any_re -> ok Test: t_sp_arst_e_init -> ok Test: t_sp_arst_e_init_re -> ok Test: t_sp_arst_n_x -> ok Test: t_sp_arst_n_x_re -> ok Test: t_sp_arst_n_0 -> ok Test: t_sp_arst_n_0_re -> ok Test: t_sp_arst_n_any -> ok Test: t_sp_arst_n_any_re -> ok Test: t_sp_arst_n_init -> ok Test: t_sp_arst_n_init_re -> ok Test: t_sp_srst_x_x -> ok Test: t_sp_srst_x_x_re -> ok Test: t_sp_srst_0_x -> ok Test: t_sp_srst_0_x_re -> ok Test: t_sp_srst_0_0 -> ok Test: t_sp_srst_0_0_re -> ok Test: t_sp_srst_0_any -> ok Test: t_sp_srst_0_any_re -> ok Test: t_sp_srst_0_init -> ok Test: t_sp_srst_0_init_re -> ok Test: t_sp_srst_v_x_re -> ok Test: t_sp_srst_v_x -> ok Test: t_sp_srst_v_0 -> ok Test: t_sp_srst_v_any -> ok Test: t_sp_srst_v_0_re -> ok Test: t_sp_srst_v_any_re -> ok Test: t_sp_srst_v_any_re_gated -> ok Test: t_sp_srst_v_any_ce -> ok Test: t_sp_srst_v_any_ce_gated -> ok Test: t_sp_srst_v_init -> ok Test: t_sp_srst_v_init_re -> ok Test: t_sp_srst_e_x -> ok Test: t_sp_srst_e_0 -> ok Test: t_sp_srst_e_x_re -> ok Test: t_sp_srst_e_0_re -> ok Test: t_sp_srst_e_any -> ok Test: t_sp_srst_e_any_re -> ok Test: t_sp_srst_e_init -> ok Test: t_sp_srst_e_init_re -> ok Test: t_sp_srst_n_x -> ok Test: t_sp_srst_n_x_re -> ok Test: t_sp_srst_n_0 -> ok Test: t_sp_srst_n_0_re -> ok Test: t_sp_srst_n_any -> ok Test: t_sp_srst_n_any_re -> ok Test: t_sp_srst_n_init -> ok Test: t_sp_srst_n_init_re -> ok Test: t_sp_srst_gv_x -> ok Test: t_sp_srst_gv_x_re -> ok Test: t_sp_srst_gv_0 -> ok Test: t_sp_srst_gv_0_re -> ok Test: t_sp_srst_gv_any -> ok Test: t_sp_srst_gv_any_re -> ok Test: t_sp_srst_gv_any_re_gated -> ok Test: t_sp_srst_gv_any_ce -> ok Test: t_sp_srst_gv_any_ce_gated -> ok Test: t_sp_srst_gv_init -> ok Test: t_sp_srst_gv_init_re -> ok Test: t_wide_sdp_a6r1w1b1x1 -> ok Test: t_wide_sdp_a7r1w1b1x1 -> ok Test: t_wide_sdp_a8r1w1b1x1 -> ok Test: t_wide_sdp_a6r0w0b0x0 -> ok Test: t_wide_sdp_a6r1w0b0x0 -> ok Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok Test: t_wide_sdp_a6r4w0b0x0 -> ok Test: t_wide_sdp_a6r5w0b0x0 -> ok Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r0w1b1x0 -> ok Test: t_wide_sdp_a6r0w2b2x0 -> ok Test: t_wide_sdp_a6r0w2b0x0 -> ok Test: t_wide_sdp_a6r0w3b2x0 -> ok Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a7r0w0b0x0 -> ok Test: t_wide_sdp_a6r0w5b2x0 -> ok Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok Test: t_wide_sdp_a7r3w0b0x0 -> ok Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a7r0w1b0x0 -> ok Test: t_wide_sdp_a7r5w0b0x0 -> ok Test: t_wide_sdp_a7r0w1b1x0 -> ok Test: t_wide_sdp_a7r0w2b0x0 -> ok Test: t_wide_sdp_a7r0w2b2x0 -> ok Test: t_wide_sdp_a7r0w3b2x0 -> ok Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sdp_a7r0w4b2x0 -> ok Test: t_wide_sdp_a7r0w5b2x0 -> ok Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sp_mix_a8r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w0b0 -> ok Test: t_wide_sp_mix_a6r1w0b0 -> ok Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sp_mix_a6r3w0b0 -> ok Test: t_wide_sp_mix_a6r4w0b0 -> ok Test: t_wide_sp_mix_a6r5w0b0 -> ok Test: t_wide_sp_mix_a6r0w1b0 -> ok Test: t_wide_sp_mix_a6r0w1b1 -> ok Test: t_wide_sp_mix_a6r0w2b0 -> ok Test: t_wide_sp_mix_a6r0w2b2 -> ok Test: t_wide_sp_mix_a6r0w3b2 -> ok Test: t_wide_sp_mix_a7r0w0b0 -> ok Test: t_wide_sp_mix_a6r0w4b2 -> ok Test: t_wide_sp_mix_a6r0w5b2 -> ok Test: t_wide_sp_mix_a7r1w0b0 -> ok Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a7r3w0b0 -> ok Test: t_wide_sp_mix_a7r4w0b0 -> ok Test: t_wide_sp_mix_a7r5w0b0 -> ok Test: t_wide_sp_mix_a7r0w1b0 -> ok Test: t_wide_sp_mix_a7r0w1b1 -> ok Test: t_wide_sp_mix_a7r0w2b0 -> ok Test: t_wide_sp_mix_a7r0w2b2 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok Test: t_wide_sp_mix_a7r0w4b2 -> ok Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_mix_a7r0w5b2 -> ok Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok Test: t_wide_sp_tied_a6r3w0b0 -> ok Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r0w2b2 -> ok Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok Test: t_wide_sp_tied_a7r0w0b0 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a7r3w0b0 -> ok Test: t_wide_sp_tied_a7r4w0b0 -> ok Test: t_wide_sp_tied_a7r5w0b0 -> ok Test: t_wide_sp_tied_a7r0w1b0 -> ok Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_sp_tied_a7r0w3b2 -> ok Test: t_wide_read_a6r1w1b1 -> ok Test: t_wide_sp_tied_a7r0w4b2 -> ok Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_write_a6r1w1b1 -> ok Test: t_wide_read_a7r1w1b1 -> ok Test: t_wide_write_a7r1w1b1 -> ok Test: t_wide_read_a8r1w1b1 -> ok Test: t_wide_write_a8r1w1b1 -> ok Test: t_wide_read_a6r0w0b0 -> ok Test: t_wide_write_a6r0w0b0 -> ok Test: t_wide_read_a6r1w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok Test: t_wide_read_a6r2w0b0 -> ok Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r3w0b0 -> ok Test: t_wide_write_a6r3w0b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok Test: t_wide_write_a6r4w0b0 -> ok Test: t_wide_read_a6r5w0b0 -> ok Test: t_wide_write_a6r5w0b0 -> ok Test: t_wide_read_a6r0w1b0 -> ok Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok Test: t_wide_write_a6r0w2b0 -> ok Test: t_wide_read_a6r0w2b2 -> ok Test: t_wide_write_a6r0w2b2 -> ok Test: t_wide_write_a6r0w3b2 -> ok Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_read_a6r0w4b2 -> ok Test: t_wide_write_a6r0w4b2 -> ok Test: t_wide_read_a6r0w5b2 -> ok Test: t_wide_read_a7r0w0b0 -> ok Test: t_wide_write_a6r0w5b2 -> ok Test: t_wide_write_a7r0w0b0 -> ok Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_write_a7r1w0b0 -> ok Test: t_wide_read_a7r2w0b0 -> ok Test: t_wide_write_a7r2w0b0 -> ok Test: t_wide_read_a7r3w0b0 -> ok Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_write_a7r4w0b0 -> ok Test: t_wide_read_a7r5w0b0 -> ok Test: t_wide_read_a7r0w1b0 -> ok Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_write_a7r0w1b0 -> ok Test: t_wide_read_a7r0w1b1 -> ok Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_write_a7r0w2b0 -> ok Test: t_wide_read_a7r0w2b2 -> ok Test: t_wide_write_a7r0w2b2 -> ok Test: t_wide_read_a7r0w3b2 -> ok Test: t_wide_write_a7r0w3b2 -> ok Test: t_wide_read_a7r0w4b2 -> ok Test: t_wide_write_a7r0w4b2 -> ok Test: t_wide_read_a7r0w5b2 -> ok Test: t_wide_write_a7r0w5b2 -> ok make[3]: Leaving directory '/build/yosys-0.23/tests/memlib' cd tests/bram && bash run-test.sh "" generating tests.. PRNG seed: 155032 running tests.. make[3]: Entering directory '/build/yosys-0.23/tests/bram' ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_03. Passed memory_bram test 01_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_03. Passed memory_bram test 02_00. Passed memory_bram test 02_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 02_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 03_00. Passed memory_bram test 03_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 02_03. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 03_02. Passed memory_bram test 03_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 04_00. Passed memory_bram test 04_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 04_03. Passed memory_bram test 04_02. make[3]: Leaving directory '/build/yosys-0.23/tests/bram' cd tests/various && bash run-test.sh make[3]: Entering directory '/build/yosys-0.23/tests/various' Passed attrib05_port_conn.ys Passed aiger_dff.ys Passed autoname.ys Passed attrib07_func_call.ys Passed blackbox_wb.ys Passed bug1496.ys Passed bug1614.ys Passed bug1710.ys Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Test: svinterface_at_top -> ok Test: load_and_derive ->ok cd tests/svtypes && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/svtypes' < ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/efinix/cells_sim.v -> ok Test ../../techlibs/gatemate/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression. ok Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok Test ../../techlibs/machxo2/cells_sim.v -> ok Test ../../techlibs/nexus/cells_sim.v -> ok Test ../../techlibs/quicklogic/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v ->../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ok cd tests/arch/ice40 && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/ice40' Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits. Passed add_sub.ys Passed bug1597.ys Passed bug1626.ys Passed bug1598.ys Passed bug2061.ys Passed adffs.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed ice40_dsp.ys Passed ice40_opt.ys Passed ice40_wrapcarry.ys Passed latches.ys Passed logic.ys Passed dpram.ys Passed macc.ys Passed mul.ys Passed bug1644.ys Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. Passed rom.ys Passed shifter.ys Passed tribuf.ys Passed mux.ys Passed memories.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/ice40' cd tests/arch/xilinx && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/xilinx' Warning: Shift register inference not yet supported for family xc3s. Passed add_sub.ys Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Passed adffs.ys Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. Passed attributes_test.ys Passed abc9_dff.ys Passed bug1460.ys Passed bug1462.ys Passed bug1480.ys Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. Warning: Wire top.\t is used but has no driver. Warning: Wire top.\in is used but has no driver. Passed bug1598.ys Passed bug1605.ys Passed counter.ys Passed dsp_abc9.ys Passed dffs.ys Passed dsp_fastfir.ys Passed blockram.ys /build/yosys-0.23/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /build/yosys-0.23/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Warning: Shift register inference not yet supported for family xc3se. Passed dsp_simd.ys Passed fsm.ys Passed logic.ys Passed latches.ys Passed macc.ys /build/yosys-0.23/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /build/yosys-0.23/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed mul.ys Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 /build/yosys-0.23/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /build/yosys-0.23/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Warning: Shift register inference not yet supported for family xc3s. Passed mul_unsigned.ys Passed dsp_cascade.ys Warning: Shift register inference not yet supported for family xc3se. Passed lutram.ys Passed nosrl.ys Passed mux_lut4.ys Passed opt_lut_ins.ys Passed shifter.ys Passed mux.ys Passed tribuf.ys Passed xilinx_dsp.ys Passed xilinx_srl.ys Passed xilinx_dffopt.ys Passed pmgen_xilinx_srl.ys Passed tribuf.sh Passed macc.sh make[3]: Leaving directory '/build/yosys-0.23/tests/arch/xilinx' cd tests/arch/ecp5 && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/ecp5' Passed add_sub.ys Passed bug1459.ys Passed bug1630.ys Passed bug1598.ys Passed bug2409.ys Warning: Whitebox '$paramod\TRELLIS_FF\REGSET=t24'010100110100010101010100' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Passed bug2731.ys Passed counter.ys Passed adffs.ys Passed dffs.ys Passed fsm.ys Passed dpram.ys Passed latches_abc9.ys Passed logic.ys Passed latches.ys Passed macc.ys Passed mul.ys Passed mux.ys Passed opt_lut_ins.ys Warning: wire '\data' is assigned in a block at rom.v:9.5-9.15. Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. Warning: wire '\data' is assigned in a block at rom.v:12.6-12.16. Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. Warning: wire '\data' is assigned in a block at rom.v:15.11-15.21. Passed rom.ys Passed shifter.ys Passed lutram.ys Passed tribuf.ys Passed memories.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/ecp5' cd tests/arch/machxo2 && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/machxo2' Passed add_sub.ys Passed logic.ys Passed dffs.ys Passed fsm.ys Passed shifter.ys Passed tribuf.ys Passed mux.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/machxo2' cd tests/arch/efinix && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/efinix' Passed counter.ys Passed add_sub.ys Passed dffs.ys Passed adffs.ys Passed logic.ys Passed fsm.ys Passed lutram.ys Passed shifter.ys Passed latches.ys Passed tribuf.ys Passed mux.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/efinix' cd tests/arch/anlogic && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/anlogic' Passed counter.ys Passed add_sub.ys Passed dffs.ys Passed fsm.ys Passed logic.ys Passed latches.ys Passed lutram.ys Passed shifter.ys Passed tribuf.ys Passed blockram.ys Passed mux.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/anlogic' cd tests/arch/gowin && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/gowin' Passed add_sub.ys Passed counter.ys Passed dffs.ys Passed fsm.ys ERROR: FF myDFFP.$auto$ff.cc:266:slice$662 (type $_DFF_PP1_) cannot be legalized: unsupported initial value and async reset value combination Expected error pattern 'unsupported initial value and async reset value combination' found !!! Passed init-error.ys Passed adffs.ys Passed logic.ys Passed init.ys Passed shifter.ys Passed tribuf.ys Passed mux.ys Passed lutram.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/gowin' cd tests/arch/intel_alm && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/intel_alm' Passed blockram.ys Passed add_sub.ys Passed counter.ys Passed dffs.ys Passed adffs.ys Passed logic.ys Passed fsm.ys Passed mul.ys Passed quartus_ice.ys Passed shifter.ys Passed mux.ys Passed tribuf.ys Passed lutram.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/intel_alm' cd tests/arch/nexus && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/nexus' Passed blockram.ys Passed add_sub.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed adffs.ys Passed logic.ys Passed lutram.ys Passed shifter.ys Passed mul.ys Passed tribuf.ys Passed mux.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/nexus' cd tests/arch/quicklogic && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/quicklogic' Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Warning: Complex async reset for dff `\Q'. Warning: Complex async reset for dff `\Q'. Passed add_sub.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed counter.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed dffs.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed fsm.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed adffs.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed logic.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed latches.ys Passed tribuf.ys Passed mux.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/quicklogic' cd tests/arch/gatemate && bash run-test.sh "" make[3]: Entering directory '/build/yosys-0.23/tests/arch/gatemate' Passed add_sub.ys Passed counter.ys Passed fsm.ys Passed dffs.ys Passed logic.ys Passed adffs.ys Passed latches.ys Passed mul.ys Passed memory.ys Passed luttrees.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[3]: Leaving directory '/build/yosys-0.23/tests/arch/gatemate' cd tests/rpc && bash run-test.sh Running exec.ys.. cd tests/memfile && bash run-test.sh Running from the parent directory with content1.dat Running from the parent directory with temp/content2.dat Running from the parent directory with memfile/temp/content2.dat Running from the same directory with content1.dat Running from the same directory with temp/content2.dat Running from a child directory with content1.dat Running from a child directory with temp/content2.dat Running from a child directory with content2.dat Checking a failure when zero length filename is provided memory.v:0: ERROR: Can not open file `` for \$readmemb. Execution failed, which is OK. Checking a failure when not existing filename is provided memory.v:0: ERROR: Can not open file `content3.dat` for \$readmemb. Execution failed, which is OK. cd tests/verilog && bash run-test.sh make[3]: Entering directory '/build/yosys-0.23/tests/verilog' < Changed-By: Daniel Gröber Description: yosys - Framework for Verilog RTL synthesis yosys-dev - Framework for Verilog RTL synthesis (development files) yosys-doc - Documentation for Yosys Changes: yosys (0.23-6) unstable; urgency=medium . * Fix autotest error patch * Require berkeley-abc 1.01+20221019git70cb339+dfsg-3 to fix armhf Checksums-Sha1: eb9e7e7eb7b8263f8ec97b324c2ca0beea7dc63a 70246892 yosys-dbgsym_0.23-6_armhf.deb 8489299ee86d781cdac536b2181e0fda55a4064b 95268 yosys-dev_0.23-6_armhf.deb d1943417d804782fe5e3f293db9913019ed4fed6 2577692 yosys-doc_0.23-6_all.deb 23ca20752ffc1f1343236414febe17030e02075c 9713 yosys_0.23-6_armhf.buildinfo 75de6ec359b08b5125024fb7b75591c4fd39ca7c 2944552 yosys_0.23-6_armhf.deb Checksums-Sha256: 9087ba32616ba87c9099409d2887cf525ca1cf562e0d443a3ceb7f8e6da918e4 70246892 yosys-dbgsym_0.23-6_armhf.deb 82076ec914f0c9026a8f2f1b790e41b994c6ace36645b54fe50d5ab5d9d6b942 95268 yosys-dev_0.23-6_armhf.deb d92515a31a6fa9570eb725e48b3f338656bf74a8d8fd8c4cdb9ae4915e743a83 2577692 yosys-doc_0.23-6_all.deb 2fa805d63c776d99dbe6e84bf5db5421827f23871e2123ec21d79b5114349e15 9713 yosys_0.23-6_armhf.buildinfo 46d0b2de62a220165fb917a300e749d2ab3f0fdbe9f31d972424f0320921b072 2944552 yosys_0.23-6_armhf.deb Files: 70f48af08fca033bbf42df366ee6325d 70246892 debug optional yosys-dbgsym_0.23-6_armhf.deb abf105e814c054a51d9d0d6675ba7f52 95268 electronics optional yosys-dev_0.23-6_armhf.deb 0a0adcad1647cb1cc2ec0c32e1a673ab 2577692 doc optional yosys-doc_0.23-6_all.deb 5d91d7c85686d859edd5d5ca99a8d2f7 9713 electronics optional yosys_0.23-6_armhf.buildinfo 8ed4760cb0f30f12e513b767bb301ffe 2944552 electronics optional yosys_0.23-6_armhf.deb Sat Jun 10 05:06:22 UTC 2023 I: diffoscope 242 will be used to compare the two builds: # Profiling output for: /usr/bin/diffoscope --timeout 7200 --html /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/yosys_0.23-6.diffoscope.html --text /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/yosys_0.23-6.diffoscope.txt --json /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/yosys_0.23-6.diffoscope.json --profile=- /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/b1/yosys_0.23-6_armhf.changes /srv/reproducible-results/rbuild-debian/r-b-build.oLfZVhiS/b2/yosys_0.23-6_armhf.changes ## command (total time: 0.000s) 0.000s 1 call cmp (internal) ## has_same_content_as (total time: 0.000s) 0.000s 1 call abc.DotChangesFile ## main (total time: 1.169s) 1.169s 2 calls outputs 0.000s 1 call cleanup ## recognizes (total time: 0.879s) 0.879s 12 calls diffoscope.comparators.binary.FilesystemFile 0.000s 10 calls abc.DotChangesFile ## specialize (total time: 0.000s) 0.000s 1 call specialize Sat Jun 10 05:06:24 UTC 2023 I: diffoscope 242 found no differences in the changes files, and a .buildinfo file also exists. Sat Jun 10 05:06:24 UTC 2023 I: yosys from bookworm built successfully and reproducibly on armhf. Sat Jun 10 05:06:26 UTC 2023 I: Submitting .buildinfo files to external archives: Sat Jun 10 05:06:26 UTC 2023 I: Submitting 12K b1/yosys_0.23-6_armhf.buildinfo.asc Sat Jun 10 05:06:27 UTC 2023 I: Submitting 12K b2/yosys_0.23-6_armhf.buildinfo.asc Sat Jun 10 05:06:28 UTC 2023 I: Done submitting .buildinfo files to http://buildinfo.debian.net/api/submit. Sat Jun 10 05:06:28 UTC 2023 I: Done submitting .buildinfo files. Sat Jun 10 05:06:28 UTC 2023 I: Removing signed yosys_0.23-6_armhf.buildinfo.asc files: removed './b1/yosys_0.23-6_armhf.buildinfo.asc' removed './b2/yosys_0.23-6_armhf.buildinfo.asc'